Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom

Information

  • Patent Grant
  • 11581183
  • Patent Number
    11,581,183
  • Date Filed
    Thursday, March 4, 2021
    3 years ago
  • Date Issued
    Tuesday, February 14, 2023
    a year ago
Abstract
Embodiments described herein provide for post deposition anneal of a substrate, having an amorphous carbon layer deposited thereon, to desirably reduce variations in local stresses thereacross. In one embodiment, a method of processing a substrate includes positioning a substrate, having an amorphous carbon layer deposited thereon, in a first processing volume, flowing an anneal gas into the first processing volume, heating the substrate to an anneal temperature of not more than about 450° C., and maintaining the substrate at the anneal temperature for about 30 seconds or more. Herein, the amorphous carbon layer was deposited on the substrate using a method which included positioning the substrate on a substrate support disposed in a second processing volume, flowing a processing gas into the second processing volume, applying pulsed DC power to a carbon target disposed in the second processing volume, forming a plasma of the processing gas, and depositing the amorphous carbon layer on the substrate.
Description
BACKGROUND
Field

Embodiments of the present disclosure generally relate to methods used in semiconductor device manufacturing, and more particularly, to methods used to form an amorphous carbon hard mask layer on a substrate in an electronic device fabrication process.


Description of the Related Art

Carbon hard masks, formed of amorphous carbon layers, are well known and are commonly used in processes of record (POR) in semiconductor manufacturing. Unfortunately, processing issues caused by inherent properties of amorphous carbon layers deposited using conventional methods are expected to increase as a result of ongoing scaling of DRAM device structures to less than ˜10 nm, which will require higher aspect ratio etching of device features such as deep contact holes or trenches. One such processing issue is related to poor uniformity in the local stress of the amorphous carbon layer across the substrate, herein designated as the degree of variation in local stress, or “local stress nonuniformity”. Non-uniform (e.g., undulating in value) local film stress values across a substrate can cause one, or both, of undesirable localized pattern distortions and pattern overlay error during the lithography processes that are performed after the deposition of the amorphous carbon layer. Pattern distortion and overlay errors may require time consuming and thus costly rework of, or even failure of, the device.


Accordingly, what is needed in the art are methods of reducing local stress nonuniformity in amorphous carbon layers.


SUMMARY

Embodiments of the present disclosure generally relate to methods of reducing the local stress nonuniformity of an amorphous carbon layer which has been deposited on a substrate using a physical vapor deposition (PVD) process. In particular, embodiments described herein provide methods of annealing a substrate, having an amorphous carbon layer deposited thereon, to desirably reduce variation in local stresses thereacross.


In one embodiment, a method of processing a substrate includes positioning a substrate, having an amorphous carbon layer deposited thereon, in a first processing volume, flowing an anneal gas into the first processing volume, heating the substrate to an anneal temperature of not more than about 450° C., and maintaining the substrate at the anneal temperature for about 30 seconds or more. Herein, the amorphous carbon layer was deposited on the substrate using a method which included positioning the substrate on a substrate support disposed in a second processing volume, flowing a processing gas into the second processing volume, applying pulsed DC power to a carbon target disposed in the second processing volume, forming a plasma of the processing gas, and depositing the amorphous carbon layer on the substrate. Herein, the first processing volume is a processing volume of a first processing chamber and the second processing volume is a processing volume of a second processing chamber. In some embodiments, the first and second processing chambers are part of a multi-chamber processing system and are coupled by a transfer chamber disposed therebetween.


In another embodiment, a method of processing a substrate includes depositing an amorphous carbon layer and annealing the substrate. Depositing the amorphous carbon layer includes positioning the substrate on a substrate support disposed in a first processing volume, flowing a processing gas into the first processing volume, wherein the processing gas comprises an inert gas and a reactive gas comprising hydrogen, nitrogen, or a combination thereof, maintaining the substrate at a deposition temperature of about 200° C. or less, applying pulsed DC power to a carbon target disposed in the first processing volume, forming a plasma of the processing gas, and depositing the amorphous carbon layer on the substrate. Annealing the substrate includes positioning the substrate, having the amorphous carbon layer deposited thereon, in a second processing volume, heating the substrate to an anneal temperature of between about 50° C. and about 450° C., and maintaining the substrate at the anneal temperature for about 30 seconds or more. Herein, the first processing volume is a processing volume of a first processing chamber and the second processing volume is a processing volume a second processing chamber. In some embodiments, the first and second processing chambers are part of a multi-chamber processing system and are coupled by a transfer chamber disposed therebetween.


In another embodiment, a carbon hard mask includes an amorphous carbon layer deposited on a substrate, the amorphous carbon layer thickness between about 1 kÅ and about 40 kÅ, a density of between about 2.0 g/cm3 and about 2.4 g/cm3, a hardness between about 15 GPa and about 20 GPa, an RMS surface roughness of less than about 1.5 nm, an absorption coefficient (optical k) value of less than about 0.3 at a wavelength of about 633 nm, a global compressive film stress of less than about 2000 MPa, and a Young's modulus of between about 100 GPa and about 300 GPa. Herein, a local shape curvature (LSC) nonuniformity across the substrate, having the amorphous carbon layer deposited thereon, is less than about 2 nm/mm2.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.



FIG. 1 is a schematic plan view of a multi-chamber processing system used to practice the methods set forth herein, according to one embodiment.



FIG. 2 a schematic cross-sectional view of an exemplary processing chamber, used to practice the methods set forth herein, according to one embodiment.



FIG. 3 illustrates a cyclic pulsed DC power applied to a target of the processing chamber described in FIG. 2, according to one embodiment.



FIG. 4 is a schematic cross-sectional view of an exemplary anneal chamber used to practice the methods set forth herein, according to one embodiment.



FIG. 5 is a flow diagram of a method of processing a substrate, according to one embodiment.



FIGS. 6A-6C illustrate the results of a method of patterning a substrate using the substrate processing method set forth in FIG. 5.



FIGS. 7A and 7B compare the local stress nonuniformity of substrates, having amorphous carbon layers deposited thereon, before and after annealing the substrates using the methods described herein.





DETAILED DESCRIPTION

Embodiments of the present disclosure generally relate to methods of reducing the local stress nonuniformity of an amorphous carbon layer which has been deposited on a substrate using a physical vapor deposition (PVD) process.


Generally, amorphous carbon layers deposited using physical vapor deposition (PVD) processes desirably have a higher density and a greater resistance to an etch precursor used to etch the film underlying the amorphous carbon layer, as compared to carbon films deposited using chemical vapor deposition (CVD) processes. Higher etch resistance to the etch precursor used to etch the film underlying the amorphous carbon layer results in PVD deposited amorphous carbon layers being the preferred carbon hardmask material for etch applications where processes for etching very high aspect ratio openings benefit from hard mask materials high having higher etch selectivity compared to the underlying material layer, for example 3D NAND applications. Unfortunately, amorphous carbon layers deposited using PVD processes may exhibit poor uniformity of local stresses across the surface of the substrate. Non-uniform stress distributions that vary with spatial position across the substrate, such as material layer film stresses that undulate or change in value across the substrate, can elastically locally distort the substrate in the corresponding regions thereof. Conventional lithography systems will often use a linear overlay correction method to correct for elastic distortion caused by a substantially uniform film stress distribution, e.g., global bowing of the substrate. However, these conventional linear overlay correction methods are often insufficient to remove overlay errors caused by out-of-plane undulations of the substrate due to high local stress uniformity of material layers thereon. Therefore, embodiments herein provide methods of annealing a substrate, having an amorphous carbon layer deposited thereon, to desirably reduce variations in local stresses, and thus local stress nonuniformity, in the amorphous carbon layer thereacross.


Typically, the deposition of the amorphous carbon layer and the subsequent anneal process are performed using a multi-chamber processing system (i.e., a cluster tool) which allows for one, or both, of sequential processing operations without exposing the substrate to atmospheric conditions and reduced overall substrate processing time by eliminating the substrate loading and unloading operations that would be used if the anneal chamber was a standalone chamber or part of a separate multi-chamber processing system. In some embodiments, the deposition of the amorphous carbon layer is performed in a processing chamber and the subsequent anneal process is performed in a degas chamber coupled to the processing chamber.



FIG. 1 is a schematic plan view of a multi-chamber processing system used to practice the methods set forth herein, according to one embodiment. Herein, the multi-chamber processing system 100 includes a plurality of processing chambers 101A-E, one or more load lock chambers 102, and a transfer chamber 103 (shown with the cover removed) having a robot handler 104 disposed therein. Typically, at least one of the processing chambers 101A-E is a deposition chamber, such as the exemplary high power impulse magnetron sputtering (HiPIMS) deposition chamber 200 further described in FIG. 2A, and at least one of the processing chambers 101A-E is an anneal chamber, such as the anneal chamber 400 further described in FIG. 4. Other exemplary multi-chamber processing systems that can be used to practice the methods set forth herein include ENDURA® and CHARGER® multi-chamber processing platforms available from Applied Materials, Inc. of Santa Clara, Calif. as well as suitable deposition chambers from other manufacturers.


Herein, the plurality of processing chambers 101A-E are coupled to the transfer chamber 103 which allows for transferring of a substrate between processing chambers, and thus sequential processing of the substrate using more than one processing chamber, without exposing the substrate to atmospheric conditions.



FIG. 2 a schematic cross-sectional view of an exemplary processing chamber, herein a high power impulse magnetron sputtering (HiPIMS) processing chamber, used to practice the methods set forth herein. The deposition chamber 200 includes a chamber body 201 featuring one or more sidewalls 202, a chamber base 203, and a lid assembly 204, which together define a processing volume 205. The processing volume 205 is fluidly coupled to a gas source 206 which provides processing gases thereinto. A target 207, bonded to a metallic backing plate 208, is disposed in the processing volume 205 and is connected to the lid assembly 204. The backing plate 208 is coupled to a pulsed DC power supply, herein a first power supply 209, which when a pulse therefrom is imposed on the target 207, ignites a plasma composed of the processing gases in the processing volume 205 by capacitive coupling therewith. The processing volume 205 is fluidly coupled to a vacuum source, such as one or more dedicated vacuum pumps 210, through a vacuum outlet 211, to maintain the processing volume 205 at sub-atmospheric pressure and evacuate processing, and other gases, therefrom.


The deposition chamber 200 further includes a substrate support 212 disposed in the processing volume 205 which is coupled to a support shaft 213 extending through the chamber base 203. A bellows 214 circumscribes the support shaft 213 and is coupled to the underside of the chamber base 203 of the deposition chamber 200 and a base plate on the substrate support 212 connected to an actuator 215 to provide a flexible seal therebetween and to maintain the vacuum integrity of the processing volume 205. The actuator 215 raises and lowers the support shaft 213, and thus the substrate support 212 disposed thereon, to facilitate processing of a substrate 216 and locating thereof to transfer thereof to and from the processing volume 205. Typically, when the substrate support 212 is in a raised or substrate processing position, the substrate 216 is spaced apart from a surface of the target 207 by between about 20 mm and about 250 mm, such as between about 40 mm to 60 mm.


Herein, the substrate 216 is transferred into and out of the processing volume 205 through an opening 217 formed through the sidewall 202, which is conventionally sealed with a door or valve (not shown) during substrate processing. Typically, a lift pin system (not shown), having a plurality of lift pins (not shown) disposed through the substrate support 212, is configured to lift the substrate 216 off of the substrate support 212 and enable access to the substrate 216 by a robot handler (not shown) to facilitate transferring of the substrate 216 to and from a surface of the substrate support 212 and in or out of the opening 217.


During processing, the substrate 216 is secured to the surface of the substrate support 212 by means of electrostatic attraction between the substrate 216 and substrate support 212 created as a result of powering a chucking electrode 218 embedded in a dielectric material of the substrate support 212. The chucking electrode 218 is electrically coupled to a second power supply 219. Herein, the second power supply 219 is a static DC power supply which provides between about −5000 V and about 5000 V. The substrate support 212 herein further includes a bias electrode 220, disposed in the dielectric material thereof, which is electrically isolated from the chucking electrode 218 by the dielectric material of the substrate support 212 disposed therebetween. The bias electrode 220 is electrically coupled to a third power supply 221 which is herein an RF power supply that operates in a pulsing or continuous wave (CW) mode. In pulsing mode, the RF bias power provided to the bias electrode typically has a pulse width (pulse duration) of between about 10 μs and about 200 μs, such as between about 10 μs and about 100 μs, such as between about 10 μs and about 50 μs, for example between about 10 μs and about 25 μs. Herein, the pulsed RF bias power has a time on duty cycle between about 10% and about 90%, such as between about 30% and about 70%. Typically, the average RF bias power provided to the bias electrode in pulsing mode or in CW mode is between about 20 W and about 1000 W for a substrate support configured for a 300 mm diameter substrate, such as between about 20 W and about 500 W, for example about 150 W. Appropriate scaling may be used for substrate supports for different sized substrates where the RF bias power per cm2 at a surface of the substrate 216 is between about 0.028 W/cm2 and about 1.415 W/cm2, such as between about 0.028 W/cm2 and about 0.71 W/cm2, for example about 0.21 W/cm2.


In some embodiments, the substrate support 212 is further coupled to a cooling base (not shown) which is used to regulate the temperature of the substrate support 212, and the substrate 216 disposed on the surface thereof, during the deposition process. Typically, the cooling base includes one or more fluid conduits disposed therein which are in fluid communication with a coolant source (not shown) such as a refrigerant source or a temperature controlled fluid source.


The lid assembly 204 herein includes a magnetron housing 222 and the target 207 bonded to the metallic backing plate 208 which define a housing volume 223, a magnetron assembly 224 disposed in the housing volume 223, and a ground shield assembly 230 which electrically insulates the target 207, and the metallic backing plate 208 bonded thereto, from the sidewalls 202 of the chamber body 201. The magnetron assembly 224 herein includes a plurality of high strength magnets 225, such as neodymium iron boride magnets, arranged to provide a magnetic field which extends through the target 207 and into the processing volume 205. magnetic field traps electrons along magnetic field lines to increase the plasma ion density by enabling additional electron-gas atom collisions. The negative bias on the target accelerates sputtering gas ions, such as ions of Argon, in the processing plasma toward the target where they strike the target to knock carbon atoms from the target 207 that can then deposit on the surface of the substrate 216 to form an amorphous carbon layer described herein. In one embodiment, a strength of the magnetic field in the plasma is between about 200 Gauss and about 1000 Gauss, such as between about 200 Gauss and about 500 Gauss, or between about 500 Gauss and about 1000 Gauss, or more than about 200 Gauss, more than about 500 Gauss, or more than about 800 Gauss.


In some embodiments, the lid assembly 204 further includes a motor 227 coupled to the magnetron assembly 224 by a rotatable shaft 226 which rotates to move the magnetron assembly 224 about an axis 228 during the deposition process. Rotating the magnetron assembly 224 during the deposition process desirably moves high ion density regions across the target surface to desirably allow more uniform erosion of carbon material from the face of the target 207 which reduces the nonuniformity of the deposited amorphous carbon layer and desirably extends the useful life of the target 207. Typically, the magnetron assembly 224 is moved about the axis 228 at between about 10 rpm and about 200 rpm, such as between about 40 rpm and about 150 rpm. In other embodiments, the magnetron assembly 224 does not move during the deposition process. In some embodiments, a cooling fluid having a relatively high resistivity is delivered to the housing volume 223 from a cooling fluid source 229 in fluid communication therewith to cool the magnetron assembly 224 and adjacent backing plate 208 and the target 207 bonded thereto.


Herein, the deposition chamber 200 includes a controller 235 coupled thereto. The controller 235 herein includes a central processing unit (CPU) 236, a memory 237, and support circuits 238. The controller 235 is used to control the process sequence, regulate the gas flows from the gas source 206 into the deposition chamber 200, bias the substrate 216 disposed on the substrate support 212, heat/cool and or maintain the substrate support 212 and/or the substrate disposed thereon at a desired temperature, and control ion bombardment of the target 207 by controlling the high voltage pulsed DC power provided to the target 207 and the magnetron housing 222 by the first power supply 209 and by controlling the speed of the magnetron assembly 224 about the axis 228. Herein, the CPU 236 is a general purpose computer processor configured for use in an industrial setting for controlling processing chamber and sub-processors related thereto. The memory 237 herein includes random access memory, read only memory, floppy or hard disk drive, or other suitable forms of digital storage, local or remote. Herein, the support circuits 238 are coupled to the CPU 236 and comprise cache, clock circuits, input/output subsystems, power supplies, and the like, and combinations thereof. In some embodiments, the controller 235 further includes one or more computer readable media (not shown).


Computer readable media herein includes any device, located either locally or remotely from the controller 235, which is capable of storing information that is retrievable by a computing device. Examples of computer readable media useable with embodiments of the present disclosure include solid state memory, floppy disks, internal or external hard drives, and optical memory (CDs, DVDs, BR-D, etc.). In one embodiment, the computer readable media comprises the memory 237. Further, any connection is properly termed a computer-readable medium. For example, when instructions are transmitted from a website, server, or other remote source using a coaxial cable, fiber optic cable, twisted pair, digital subscriber line (DSL), or wireless technologies such as infrared (IR), radio, and microwave, then the coaxial cable, fiber optic cable, twisted pair, DSL, or wireless technologies such as infrared, radio, and microwave are included in the definition of medium. Software routines, when executed by the CPU 236, transform the CPU into a specific purpose computer, herein the controller 235, which controls the operation of the deposition chamber 200, such that the processes are performed in accordance with embodiments of the disclosure. In some embodiments, the software routines are stored and/or executed by a second controller (not shown) which is located remotely from the deposition chamber 200. In other embodiments, the processes described herein, or portions thereof, are performed by one or more application specific integrated circuits (ASIC) or other types of hardware implementations. In some other embodiments, the processes described herein are performed by a combination of software routines, ASIC(s), and/or other types of hardware implementations. In some embodiments, the controller 235 is further used to control the operation of the multi-chamber processing system 100 described in FIG. 1, including the processing chambers coupled thereto.



FIG. 3 illustrates the time to amplitude relationship of a cyclic pulsed DC power waveform 300, such as the high voltage pulsed DC power waveform provided to the target 207 by the first power supply 209 shown in FIG. 2. Herein, the cyclic pulsed DC power waveform 300 cycles from a first voltage V1 of between about −100 V and about −1400 V to a second voltage V2 of about 0 V. In some embodiments, the second voltage is a positive voltage of more than about 0 V. The cyclic pulsed DC power 300 has a pulse duration, herein pulse active time ton, between about 10 μs and about 40 μs, or less than about 200 μs, such as less than about 100 μs, such as less than about 50 μs, for example less than about 35 μs and a cycle period T. Typically, the cycle period T is less than about 400 μs, such as less than about 300 μs, for example less than about 200 μs or a pulse repetition frequency is more than about 2500 Hz, such as more than about 3300 Hz, for example more than about 5000 Hz. As shown, the cyclic pulsed DC power 300 has a square wave shape, in other embodiments the cyclic pulsed DC power 300 has a non-square wave shape. Herein, an average DC power provided to the target during the pulse active time ton is between about 1 kW and about 11 kW for a target disposed in a processing chamber configured to process 300 mm diameter substrates. Appropriate scaling may be used for targets disposed in processing chambers configured to process different sized substrates where the average DC power during the pulse active time ton per cm2 of a surface of the target is between about 1 W/cm2 and about 20 W/cm2, such as between about 1.4 W/cm2 and about 16 W/cm2.



FIG. 4 is a schematic cross-sectional view of an exemplary anneal chamber used to practice the methods set forth herein, according to one embodiment. The anneal chamber 400 features a chamber body 401 defining a processing volume 402 and a substrate support 403 disposed in the processing volume. Herein, the anneal chamber is a single substrate processing chamber configured to heat a substrate 216 disposed on the substrate support 403 to a desired temperature using a heat source, such as a resistive heater 404, embedded in the substrate support 403. In some embodiments, the substrate support 403 is a hot plate. In some embodiments (not shown), the heat source is a radiant heat source, such as a plurality of lamps positioned above, below, or both above and below the substrate 216 to radiate heat theretowards. In some other embodiments, the anneal chamber is a batch processing chamber configured to heat a plurality of substrates in a single anneal process sequence.


Herein, the processing volume 402 is fluidly coupled to a gas source 405 and to a vacuum source, such as one or more dedicated vacuum pumps or to a common fab exhaust. In some embodiments, the gas source 405 includes one or more pumps (not shown) that pressurize one or more anneal gases delivered thereto. During substrate processing the processing volume 402 is desirably maintained at a desired pressure through operation of valves 406a and 406b fluidly coupled to the gas source 405 and the vacuum source respectively. In some embodiments, the anneal chamber 400 and the deposition chamber 200 are part of a multi-chamber processing system (i.e., cluster tool), such as the multi-chamber processing system 100 described in FIG. 1. In other embodiments (not shown), the anneal chamber 400 is a standalone chamber or one of a plurality of connected chambers that is coupled to the deposition chamber 200 described in FIG. 2.



FIG. 5 is a flow diagram of a method of processing a substrate, according to one embodiment. FIGS. 6A-6C illustrate a method of patterning a substrate using the substrate processing method set forth in FIG. 5.


At activity 501 the method 500 includes positioning a substrate, such as the substrate 216 shown in FIG. 6A having an amorphous carbon layer 602 deposited thereon, in a first processing volume. Herein, the first processing volume is a processing volume of a first processing chamber, such as the anneal chamber 400 described in FIG. 4. Typically, one or both of the substrate 216 or one or more material surfaces 601 thereof are formed of a material comprising crystalline silicon, silicon oxide, silicon oxynitride, silicon nitride, strained silicon, silicon germanium, tungsten, titanium nitride, doped or undoped polysilicon, carbon doped silicon oxides, silicon nitrides, doped silicon, germanium, gallium arsenide, glass, sapphire, low k dielectric materials, or combinations thereof. In some embodiments, the material surface 601 of the substrate 216 comprises a low-k dielectric material, such as a low-k carbon containing dielectric layer, a porous silicon oxycarbide low-k, an ultra-low-k dielectric layer, or a combination thereof. In some embodiments, the amorphous carbon layer 602 has a thickness between about 800 Å and about 16 kÅ, for example less than about 2 kÅ, such as less than about 1 kÅ, or more than about 4 kÅ, such as more than about 5 kÅ, or more than about 6 kÅ. In other embodiments, the amorphous carbon layer 602 has a thickness of up to about 40 kÅ, such as between about 1 kÅ and about 40 kÅ, or between about 10 kÅ and about 40 kÅ.


At activity 502 the method 500 includes heating the substrate to an anneal temperature of not more than about 450° C., such as between about 50° C. and about 400° C., between about 50° C. and about 250° C., between about 75° C. and about 225° C., for example between about 100° C. and about 200° C.


At activity 503 the method 500 includes maintaining the substrate at the anneal temperature for about 30 seconds or more, such as between about 30 seconds and about 3 hours, between about 30 seconds and about 60 minutes, between about 30 seconds and about 10 minutes, between about 30 seconds and about 30 minutes, between about 30 seconds and about 5 minutes, or less than about 3 hours, such as less than about 60 minutes, less than about 30 minutes, less than about 10 minutes, or less than about 5 minutes.


In some embodiments, the method 500 further includes flowing an anneal gas into the first processing volume and maintaining the first processing volume at a desired pressure through the duration of activities 502 and 503, or at least through the duration of activity 503. Examples of anneal gases used herein include Ar, He, Kr, forming gas (a mixture of H2 and N2), N2, O2, CO, CO2, and combinations thereof. Typically, the first processing volume is maintained at desired pressure, herein an anneal pressure, of more than about 0.1 bar, such as between about 0.1 bar and about 10 bar, such as between about 0.1 bar and about 5 bar, for example between about 0.5 bar and about 2 bar. In some embodiments, the first processing chamber is a high pressure anneal chamber capable of pressurizing the processing volume to pressures more than about 10 bar, for example up to about 45 bar and the first processing volume is maintained at a pressure of between about 1 bar and about 45 bar, such as between about 1 bar and about 20 bar.


Herein, the amorphous carbon layer was deposited on the substrate using a method which included positioning the substrate on a substrate support disposed in a second processing volume, flowing a processing gas into the second processing volume, applying pulsed DC power to a carbon target disposed in the second processing volume, forming a plasma of the processing gas, and depositing the amorphous carbon layer on the substrate. In some embodiments, the method 500 includes depositing the amorphous carbon layer 602 on the substrate 216 or a material surface 601 thereof.


Herein, the second processing volume is a processing volume of a second processing chamber, such as the deposition chamber 200 described in FIG. 2. Typically, the processing gas used to sputter the target to provide the carbon to form the amorphous carbon layer includes an inert gas, such as Ar, He, Ne, Kr, Xe, or a combination thereof. In some embodiments, the processing gas further includes a reactive gas. In some embodiments, depositing the amorphous carbon layer further includes biasing the substrate. Biasing the substrate desirably improves roughness and optical K (transparency), but increases film stress. Adding a hydrogen source gas, such as hydrogen or a hydrogenated carbon gas, or a nitrogen source gas, such as N2 or NH3, to an inert gas desirable reduces the roughness and desirably reduces film stress of the as deposited amorphous carbon layer when compared to methods that use only an inert gas, such as Kr. Further, the combination of a hydrogen source gas and an inert gas, such as H2 and Kr, improves optical K properties of the resulting film when compared to methods that use only an inert gas or use a hydrogen source gas and an inert gas. The combination of biasing and adding a hydrogen source gas or a nitrogen source gas during the deposition process results in an amorphous carbon layer with higher density, higher etch resistance, lower film roughness, and lower stress when compared to conventional PVD methods.


Typically, the reactive gas comprises hydrogen, nitrogen, or a combination thereof. In some embodiments, the reactive gas comprises a hydrogen source gas such as H2, hydrogenated carbon gas, or a combination thereof, where hydrogenated carbon gases include CH4, C2H2, C3H8, C4H10, C2H4, C3H6, C4H8, and C5H10, or a combination thereof. In other embodiments, the reactive gas comprises a nitrogen source gas, such as N2, NH3, or a combination thereof. Typically, the processing gas comprises between about 5% and about 95% hydrogen source gas, nitrogen source gas, or a combination thereof, such as between about 5% and about 50%, such as between about 5% and about 40%, for example between about 20% and about 40% or more than about 20%. In one embodiment, the processing gas comprises Kr and N2 or Kr and H2. Typically, a processing pressure in the second processing volume is maintained between about 1.3 microbar and about 13 microbar, such as less than about 13 microbar, or such as between about 1.3 microbar and about 66 microbar, during the deposition process.


In some embodiments, the pulsed DC power applied to the carbon target disposed in the second processing volume cycles from a first voltage between about −100 V and about −1400 V to a second voltage V2 of about 0 V or more. Typically, an average pulse duration, herein a pulse active time, of the pulsed DC power is between about 10 μs and about 200 μs. In some embodiments, the average DC power per cm2 of the sputterable surface of the target is between about 1 W/cm2 and about 20 W/cm2, such as between about 1.4 W/cm2 and about 16 W/cm2 for each pulse cycle. In embodiments herein, the target comprises a carbon containing material, such as graphite, amorphous carbon, sp2 type carbon materials, or combinations thereof, which is bonded to a metallic backing plate with an adhesive or by other conventional means.


In some embodiments, forming the plasma in the second processing volume includes providing a bias power to a bias electrode disposed in the dielectric material of the substrate support. In one embodiment, the bias power is a pulsed RF bias power having an average pulse duration of between about 10 μs and about 200 μs, such as between about 10 μs and about 100 μs, such as between about 10 μs and about 50 μs, for example between about 10 μs and about 25 μs and an on-time duty cycle between about 10% and about 90%, such as between about 30% and about 70%. In one embodiment, an average RF bias power per cm2 of a surface of the substrate 216 is between about 0.028 W/cm2 and about 1.415 W/cm2, such as between about 0.028 W/cm2 and about 0.71 W/cm2, for example about 0.21 W/cm2 for each pulse cycle. In other embodiments, the RF bias power is provided in a continuous wave (CW).


In some embodiments, depositing the amorphous carbon layer 602 includes maintaining the substrate 216 at a temperature of 200° C. or less, such as between about −50° C. and about 200° C., between about −50° C. and about 100° C., or between about −50° C. and about 50° C.


In some embodiments, the method 500 further includes depositing a patterning layer (not shown) on the amorphous carbon layer 602. Herein, the patterning layer is deposited in a different deposition chamber, such as a CVD chamber of PVD chamber (i.e., a third processing chamber), than the deposition chamber used to deposit the amorphous carbon layer. Typically, the patterning layer comprises silicon oxide, silicon nitride, amorphous silicon, or a combination thereof. In some embodiments, the deposition chamber used to deposit the amorphous carbon layer, the anneal chamber, and the deposition chamber used to deposit the patterning layer are part of the same multi-chamber processing system (i.e., cluster tool), such as the multi-chamber processing system 100 described in FIG. 1. In some embodiments, the method 500 further includes forming a plurality of openings (not shown) through the patterning layer (not shown) using conventional lithography and etch processes. The plurality of openings in the patterning layer are then extended through the amorphous carbon layer 602 to form a pattern (i.e., the plurality of openings 604 in the amorphous carbon layer 602) and are further extended through the underlying material surface 601 as shown in FIG. 6C.



FIGS. 7A and 7B compare the local shape curvature (LSC) uniformity of 300 mm diameter substrates, each having an amorphous carbon layers deposited thereon, before and after annealing the substrates using the methods described herein. The LSC uniformity metric is a shape-curvature-change metric conventionally used to predict process induced local stresses on a substrate, such as the local stress nonuniformities described herein. The amorphous carbon layers in FIGS. 7A and 7B were deposited to a thickness of about 1000 Å using a HiPIMS process, such as the HiPIMS process described herein.


In FIG. 7A each of four substrates were maintained at a desired anneal temperature in an N2 environment at 45 bars for 10 minutes. Pre-anneal LSC nonuniformity 701 and post-anneal LSC nonuniformity 702 values were determined for each of the four substrates processed at anneal temperatures of 100° C., 200° C., 300° C., and 400° C. respectively and are shown in the chart 700. The pre-anneal refractive index 703 and the post-anneal refractive index 704 of the amorphous carbon layers on each of the four respective substrates are also shown on chart 700. Generally, an amorphous carbon layer having a higher refractive index will also have a higher film density when compared to an amorphous carbon layer having a lower refractive index. Higher film densities in amorphous carbon hard masks desirably result in decreased deformation of high aspect ratio features formed in the underlying substrate or material layer when compared to high aspect ratio features formed using lower density amorphous carbon hard masks. As shown, annealing the substrates, and thus the amorphous carbon layers deposited thereon, resulted in a more than 2× reduction in LSC nonuniformity for each of the substrates processed at anneal temperatures of 100° C., 200° C., 300° C., and 400° C. respectively. Further, the refractive index of the amorphous carbon layers processed at temperatures of 200° C. and below did not significantly change (e.g., <5% change) from pre-anneal 703 to post anneal 704 indicating that anneal temperatures of about 200° C. or below can be used to reduce local stress nonuniformity without significantly reducing film density. Table 1 further shows global substrate bow measurements pre and post anneal for each of the four substrates processed at the anneal temperatures of 100° C., 200° C., 300° C., and 400° C. respectively.













TABLE 1







Anneal
Pre-Anneal
Post-Anneal



Temperature (° C.)
Substrate Bow (μm)
Substrate Bow (μm)




















100
115.59
115.80



200
115.20
115.59



300
115.61
119.59



400
116.4
127.31










In FIG. 7B each of three substrates were maintained at a desired anneal pressure and an anneal temperature of 100° C. in an N2 environment for 10 minutes. Pre-anneal LSC nonuniformity 701 and post-anneal LSC nonuniformity 702 values were determined for each of three substrates processed at anneal pressures of 1 bar, 20 bars, and 45 bars respectively and are shown in chart 705. The post-anneal refractive index 704 of the amorphous carbon layers on each of the three respective substrates is also shown on chart 705. The post-anneal refractive index 704 of the amorphous carbon layers on each of the respective substrates varied less than 1 from the pre-anneal refractive index (not shown). As shown, annealing the substrates at an anneal temperature of 100° C. results a more than 2× reduction in LSC nonuniformity for each of the substrates processed at 1 bar, 20 bars, and 45 bars and less than 1% change in refractive index.



FIGS. 7A and 7B collectively show that the methods provided herein can be used to improve the LSC nonuniformity of a substrate, and thus the local stress nonuniformity of an amorphous carbon layer, without undesirably reducing the density of the amorphous carbon layer or undesirably increasing the global bow of the substrate.


In other embodiments, a carbon hard mask is provided. The carbon hard mask comprises an amorphous carbon layer disposed on a substrate, wherein the amorphous carbon layer has a thickness between 1 kÅ and about 40 kÅ, such as between about 1 kÅ and about 16 kÅ or between about 10 kÅ and about 40 kÅ, a density of between about 2.0 g/cm3 and about 2.4 g/cm3, a hardness between about 15 GPa and about 20 GPa, an RMS surface roughness of less than about 1.5 nm, an absorption coefficient (optical k) value of less than about 0.3 at a wavelength of about 633 nm, a global compressive film stress of less than about 2000 MPa, a Young's modulus of between about 100 GPa and about 300 GPa, a substantially columnar free morphology, and a local stress nonuniformity across a substrate of less than about 2%. In some embodiments, the amorphous carbon layer has a hardness of more than about 15 GPa, such as more than about 16 GPa, for example more than about 17 GPa. In some embodiments, the amorphous carbon layer has an RMS surface roughness less than about 1 nm, less than about 0.5 nm, for example less than about 0.25 nm. In some embodiments, the amorphous carbon layer has an absorption coefficient (optical k) value of less than about 0.25 at a wavelength of about 633 nm, less than about 0.20, for example less than about 0.17, or about equal to 0.15. In some embodiments, the amorphous carbon layer has a global compressive film stress between about 1000 MPa and about 2000 MPa, such as between about 1000 MPA and about 1500 MPA, such as less than about 1500 MPa, or less than about 1200 MPa. Herein, the amorphous carbon layer has a Young's modulus of between about 150 GPa and about 250 GPa, or more than about 150 GPa, or less than about 250 GPa. In some embodiments, the amorphous carbon layer has a refractive index of not less than about 2.4, such as not less than about 2.5. In some embodiments, the amorphous carbon layer has a plurality of openings formed therethrough, wherein each of the plurality of openings have an aspect ratio (height to width) of more than about 2:1, such as more than about 3:1, more than about 4:1, more than about 5:1, more than about 6:1, more than about 7:1, more than about 8:1, more than about 9:1, for example more than about 10:1.


The methods described above result in reduced variations in local stresses, and thus local stress nonuniformity, in an amorphous carbon layer deposited on a substrate. Reduced local stress nonuniformity of the amorphous carbon layer will desirably reduce or eliminate local shape curvature (LSC) nonuniformities that undesirably cause localized pattern distortions and pattern overlay error in subsequent lithography processes. Further, the annealing processes described herein may be performed in the same multi-chamber substrate processing system as used to deposit the amorphous carbon layer without exposing the substrate to atmospheric conditions. Annealing the substrate in the same multi-chamber substrate processing system used to deposit the amorphous carbon layer desirably reduces substrate processing time by eliminating redundant loading and unloading operations that would be used if the anneal chamber was a standalone chamber or part of a separate multi-chamber processing system.


While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims
  • 1. A carbon hard mask, comprising: an amorphous carbon layer deposited on a substrate, wherein a local shape curvature (LSC) nonuniformity across the substrate is less than 2 nm/mm2, andthe amorphous carbon layer has a thickness between 1 kÅ and 40 kÅ.
  • 2. The carbon hard mask of claim 1, wherein the amorphous carbon layer has a density of between 2.0 g/cm3 and 2.4 g/cm3.
  • 3. The carbon hard mask of claim 1, wherein the amorphous carbon layer has a hardness between 15 GPa and 20 GPa.
  • 4. The carbon hard mask of claim 1, wherein the amorphous carbon layer has an RMS surface roughness of less than 1.5 nm.
  • 5. The carbon hard mask of claim 1, wherein the amorphous carbon layer has an absorption coefficient (optical k) value of less than 0.3.
  • 6. The carbon hard mask of claim 1, wherein the amorphous carbon layer has a global compressive film stress of less than 2000 MPa.
  • 7. The carbon hard mask of claim 1, wherein the amorphous carbon layer has a Young's modulus of between 100 GPa and 300 GPa.
  • 8. A structure, comprising: a material layer formed on a substrate; anda hard mask formed on the material layer, wherein the hard mask has higher etch selectivity compared to the material layer in an etch process to form openings in the material layer, anda local shape curvature (LSC) nonuniformity across the substrate is less than 2 nm/mm2.
  • 9. The structure of claim 8, wherein the hard mask comprises amorphous carbon.
  • 10. The structure of claim 8, wherein the material layer comprises crystalline silicon, silicon oxide, silicon oxynitride, silicon nitride, strained silicon, silicon germanium, tungsten, titanium nitride, doped or undoped polysilicon, carbon doped silicon oxides, silicon nitrides, doped silicon, germanium, gallium arsenide, glass, sapphire, low k dielectric materials, or combinations thereof.
  • 11. The structure of claim 8, wherein the material layer comprises a low-k carbon containing dielectric layer, a porous silicon oxycarbide low-k, an ultra-low-k dielectric layer, or a combination thereof.
  • 12. The structure of claim 8, wherein the hard mask has a thickness between 1 kÅ and 40 kÅ.
  • 13. The structure of claim 8, wherein the hard mask has a density of between 2.0 g/cm3 and 2.4 g/cm3.
  • 14. The structure of claim 8, wherein the hard mask has a hardness between 15 GPa and 20 GPa.
  • 15. The structure of claim 8, wherein the hard mask has an RMS surface roughness of less than 1.5 nm.
  • 16. The structure of claim 8, wherein the hard mask has an absorption coefficient (optical k) value of less than 0.3.
  • 17. The structure of claim 8, wherein the hard mask has a global compressive film stress of less than 2000 MPa.
  • 18. The structure of claim 8, wherein the hard mask has a Young's modulus of between 100 GPa and 300 GPa.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a divisional of co-pending patent application Ser. No. 16/396,167, filed Apr. 26, 2019, which claims priority to U.S. Provisional Application Ser. No. 62/668,558 filed on May 8, 2018, each which is herein incorporated by reference in its entirety.

US Referenced Citations (556)
Number Name Date Kind
3684592 Chang et al. Aug 1972 A
3749383 Voigt et al. Jul 1973 A
3758316 Sowards et al. Sep 1973 A
4409260 Pastor et al. Oct 1983 A
4424101 Nowicki Jan 1984 A
4524587 Kantor Jun 1985 A
4576652 Hovel et al. Mar 1986 A
4589193 Goth et al. May 1986 A
4879259 Reynolds et al. Nov 1989 A
5050540 Lindberg Sep 1991 A
5114513 Hosokawa et al. May 1992 A
5126117 Schumacher et al. Jun 1992 A
5149378 Ohmi et al. Sep 1992 A
5167717 Boitnott Dec 1992 A
5175123 Vasquez et al. Dec 1992 A
5300320 Barron et al. Apr 1994 A
5314541 Saito et al. May 1994 A
5319212 Tokoro Jun 1994 A
5366905 Mukai Nov 1994 A
5472812 Sekine Dec 1995 A
5578132 Yamaga et al. Nov 1996 A
5590695 Siegele et al. Jan 1997 A
5597439 Salzman Jan 1997 A
5620524 Fan et al. Apr 1997 A
5677230 Weitzel et al. Oct 1997 A
5747383 Chen et al. May 1998 A
5808245 Wiese et al. Sep 1998 A
5857368 Grunes et al. Jan 1999 A
5858051 Komiyama et al. Jan 1999 A
5877087 Mosely et al. Mar 1999 A
5879756 Fathi et al. Mar 1999 A
5880041 Ong Mar 1999 A
5886864 Dvorsky Mar 1999 A
5888888 Talwar et al. Mar 1999 A
5918149 Besser et al. Jun 1999 A
5940985 Kamikawa et al. Aug 1999 A
6071810 Wada et al. Jun 2000 A
6077571 Kaloyeros Jun 2000 A
6082950 Altwood et al. Jul 2000 A
6086730 Liu Jul 2000 A
6103585 Michaelis Aug 2000 A
6136664 Economikos et al. Oct 2000 A
6140235 Yao et al. Oct 2000 A
6150286 Sun et al. Nov 2000 A
6164412 Allman Dec 2000 A
6207487 Kim et al. Mar 2001 B1
6242368 Holmer et al. Jun 2001 B1
6242808 Shimizu et al. Jun 2001 B1
6251242 Fu et al. Jun 2001 B1
6251751 Chu et al. Jun 2001 B1
6277249 Gopalraja et al. Aug 2001 B1
6284646 Leem Sep 2001 B1
6299753 Chao et al. Oct 2001 B1
6305314 Sneh et al. Oct 2001 B1
6319766 Bakli et al. Nov 2001 B1
6319847 Ishikawa Nov 2001 B1
6334249 Hsu Jan 2002 B2
6334266 Moritz et al. Jan 2002 B1
6335240 Kim et al. Jan 2002 B1
6344249 Maruyama et al. Feb 2002 B1
6344419 Forster et al. Feb 2002 B1
6348376 Lim et al. Feb 2002 B2
6355558 Dixit Mar 2002 B1
6358829 Yoon et al. Mar 2002 B2
6368412 Gomi Apr 2002 B1
6372598 Kang et al. Apr 2002 B2
6387764 Curtis et al. May 2002 B1
6399486 Chen et al. Jun 2002 B1
6399491 Jeon et al. Jun 2002 B2
6416822 Chiang et al. Jul 2002 B1
6428859 Chiang et al. Aug 2002 B1
6442980 Preston et al. Sep 2002 B2
6451119 Sneh et al. Sep 2002 B2
6451695 Sneh Sep 2002 B2
6458701 Chae et al. Oct 2002 B1
6464779 Powell et al. Oct 2002 B1
6468490 Shamouilian et al. Oct 2002 B1
6468924 Lee et al. Oct 2002 B2
6475910 Sneh Nov 2002 B1
6478872 Chae et al. Nov 2002 B1
6482262 Elers et al. Nov 2002 B1
6482733 Raaijmakers et al. Nov 2002 B2
6482740 Soininen et al. Nov 2002 B2
6489214 Kim et al. Dec 2002 B2
6500603 Shioda Dec 2002 B1
6511539 Raaijmakers Jan 2003 B1
6534395 Werkhoven et al. Mar 2003 B2
6548424 Putkonen Apr 2003 B2
6551929 Kori et al. Apr 2003 B1
6569501 Chiang et al. May 2003 B2
6583497 Xia et al. Jun 2003 B2
6585823 Van Wijck Jul 2003 B1
6599572 Saanila et al. Jul 2003 B2
6599819 Goto Jul 2003 B1
6607976 Chen et al. Aug 2003 B2
6619304 Worm Sep 2003 B2
6620670 Song et al. Sep 2003 B2
6620723 Byun et al. Sep 2003 B1
6620956 Chen et al. Sep 2003 B2
6630201 Chiang et al. Oct 2003 B2
6632279 Ritala et al. Oct 2003 B1
6657304 Woo et al. Dec 2003 B1
6660660 Haukka et al. Dec 2003 B2
6686271 Raaijmakers et al. Feb 2004 B2
6780777 Yun et al. Aug 2004 B2
6797336 Garvey et al. Sep 2004 B2
6825115 Xiang et al. Nov 2004 B1
6841432 Takemura et al. Jan 2005 B1
6849122 Fair Feb 2005 B1
6867130 Karlsson et al. Mar 2005 B1
6867152 Hausmann et al. Mar 2005 B1
6889627 Hao May 2005 B1
6897118 Poon et al. May 2005 B1
6969448 Lau Nov 2005 B1
7055333 Leitch et al. Jun 2006 B2
7084079 Conti et al. Aug 2006 B2
7105061 Shrinivasan et al. Sep 2006 B1
7111630 Mizobata et al. Sep 2006 B2
7114517 Sund et al. Oct 2006 B2
7211525 Shanker et al. May 2007 B1
7282458 Gates et al. Oct 2007 B2
7361231 Fury et al. Apr 2008 B2
7429402 Gandikota et al. Sep 2008 B2
7432200 Chowdhury et al. Oct 2008 B2
7460760 Cho et al. Dec 2008 B2
7465650 Derderian Dec 2008 B2
7491658 Nguyen et al. Feb 2009 B2
7503334 Shrinivasan et al. Mar 2009 B1
7521089 Hillman et al. Apr 2009 B2
7521378 Fucsko et al. Apr 2009 B2
7541297 Mallick et al. Jun 2009 B2
7576441 Yin et al. Aug 2009 B2
7629227 Wang et al. Dec 2009 B1
7650965 Thayer et al. Jan 2010 B2
7651959 Fukazawa et al. Jan 2010 B2
7655532 Chen et al. Feb 2010 B1
7691442 Gandikota et al. Apr 2010 B2
7709320 Cheng May 2010 B2
7759749 Tanikawa Jul 2010 B2
7825038 Ingle et al. Nov 2010 B2
7825042 Mandal Nov 2010 B2
7867914 Xi et al. Jan 2011 B2
7867923 Mallick et al. Jan 2011 B2
7891228 Ding et al. Feb 2011 B2
7910165 Ganguli et al. Mar 2011 B2
7964505 Khandelwal et al. Jun 2011 B2
7964506 Ponnuswamy et al. Jun 2011 B1
8027089 Hayashi Sep 2011 B2
8278224 Mui et al. Oct 2012 B1
8306026 Anjum et al. Nov 2012 B2
8318584 Li et al. Nov 2012 B2
8349085 Tahara et al. Jan 2013 B2
8449942 Liang et al. May 2013 B2
8455368 Chandler et al. Jun 2013 B2
8466073 Wang et al. Jun 2013 B2
8481123 Kim et al. Jul 2013 B2
8536065 Seamons et al. Sep 2013 B2
8557712 Antonelli et al. Oct 2013 B1
8563445 Liang et al. Oct 2013 B2
8585873 Ford et al. Nov 2013 B2
8647992 Liang et al. Feb 2014 B2
8648253 Woods et al. Feb 2014 B1
8668868 Chiu et al. Mar 2014 B2
8741788 Liang et al. Jun 2014 B2
8871656 Mallick et al. Oct 2014 B2
8906761 Kim et al. Dec 2014 B2
8936834 Kim et al. Jan 2015 B2
9121515 Yamamoto et al. Sep 2015 B2
9153442 Wang et al. Oct 2015 B2
9157730 Rajagopalan et al. Oct 2015 B2
9190321 Cabral, Jr. et al. Nov 2015 B2
9257314 Rivera et al. Feb 2016 B1
9306026 Toriumi et al. Apr 2016 B2
9330939 Zope et al. May 2016 B2
9362107 Thadani et al. Jun 2016 B2
9382621 Choi et al. Jul 2016 B2
9423313 Douba et al. Aug 2016 B2
9484406 Sun et al. Nov 2016 B1
9502307 Bao et al. Nov 2016 B1
9570551 Balakrishnan et al. Feb 2017 B1
9583655 Cheng Feb 2017 B2
9646850 Pethe May 2017 B2
9679810 Nag et al. Jun 2017 B1
9685371 Zope et al. Jun 2017 B2
9695503 Stowell et al. Jul 2017 B2
9741626 Cheng et al. Aug 2017 B1
9777378 Nemani et al. Oct 2017 B2
10049927 Mebarki et al. Aug 2018 B2
10083834 Thompson et al. Sep 2018 B2
10096516 Leschkies et al. Oct 2018 B1
10179941 Khan et al. Jan 2019 B1
10224224 Liang et al. Mar 2019 B2
10234630 Meyer Timmerman Thijssen et al. Mar 2019 B2
10269571 Wong et al. Apr 2019 B2
10276411 Delmas et al. Apr 2019 B2
10403729 Lee Sep 2019 B2
10410918 Wu et al. Sep 2019 B2
10529585 Manna et al. Jan 2020 B2
10529603 Liang et al. Jan 2020 B2
10566188 Clemons et al. Feb 2020 B2
10622214 Wong et al. Apr 2020 B2
10636669 Chen et al. Apr 2020 B2
10636677 Delmas et al. Apr 2020 B2
10636704 Mebarki et al. Apr 2020 B2
10643867 Delmas et al. May 2020 B2
10675581 Khan et al. Jun 2020 B2
10685830 Delmas Jun 2020 B2
10714331 Balseanu et al. Jul 2020 B2
10720341 Liang et al. Jul 2020 B2
10748783 Khan et al. Aug 2020 B2
10790183 Sun et al. Sep 2020 B2
10847360 Wong et al. Nov 2020 B2
10854483 Schaller et al. Dec 2020 B2
10916433 Ren et al. Feb 2021 B2
10950429 Citla et al. Mar 2021 B2
10957533 Jiang et al. Mar 2021 B2
11018032 Delmas et al. May 2021 B2
11101174 Jiang et al. Aug 2021 B2
20010016429 Mak et al. Aug 2001 A1
20010029108 Tometsuka Oct 2001 A1
20010041122 Kroeker Nov 2001 A1
20010050096 Costantini et al. Dec 2001 A1
20010055649 Ogure et al. Dec 2001 A1
20020066535 Brown et al. Jun 2002 A1
20020073922 Frankel et al. Jun 2002 A1
20020098715 Lane et al. Jul 2002 A1
20020122885 Ahn Sep 2002 A1
20020134439 Kawasaki et al. Sep 2002 A1
20020148492 Yamagata et al. Oct 2002 A1
20020151128 Lane et al. Oct 2002 A1
20020155714 Suzuki Oct 2002 A1
20020192056 Reimer et al. Dec 2002 A1
20020197806 Furukawa et al. Dec 2002 A1
20030022487 Yoon et al. Jan 2003 A1
20030030945 Heinonen et al. Feb 2003 A1
20030049372 Cook et al. Mar 2003 A1
20030053893 Matsunaga et al. Mar 2003 A1
20030059538 Chung et al. Mar 2003 A1
20030101938 Ronsse et al. Jun 2003 A1
20030121887 Garvey et al. Jul 2003 A1
20030129832 Fujikawa Jul 2003 A1
20030148035 Lingampalli Aug 2003 A1
20030148631 Kuo et al. Aug 2003 A1
20030194615 Krauth Oct 2003 A1
20030207593 Derderian et al. Nov 2003 A1
20030232512 Dickinson et al. Dec 2003 A1
20040025908 Douglas et al. Feb 2004 A1
20040060519 Beauchaine et al. Apr 2004 A1
20040074869 Wang et al. Apr 2004 A1
20040097060 San et al. May 2004 A1
20040112409 Schilling Jun 2004 A1
20040180510 Ranade Sep 2004 A1
20040184792 Hamelin et al. Sep 2004 A1
20040219800 Tognetti Nov 2004 A1
20040248392 Narwankar et al. Dec 2004 A1
20040255979 Fury et al. Dec 2004 A1
20050003655 Cathey et al. Jan 2005 A1
20050014365 Moon et al. Jan 2005 A1
20050022737 Shimizu et al. Feb 2005 A1
20050051194 Sakashita et al. Mar 2005 A1
20050074956 Autryve et al. Apr 2005 A1
20050082281 Uemori et al. Apr 2005 A1
20050109392 Hollars May 2005 A1
20050136684 Mukai et al. Jun 2005 A1
20050161158 Schumacher Jul 2005 A1
20050164445 Lin et al. Jul 2005 A1
20050186765 Ma et al. Aug 2005 A1
20050191828 Al-Bayati et al. Sep 2005 A1
20050198971 Leitch et al. Sep 2005 A1
20050205210 Devine et al. Sep 2005 A1
20050227479 Feng et al. Oct 2005 A1
20050250347 Bailey et al. Nov 2005 A1
20050269291 Kent Dec 2005 A1
20060003596 Fucsko et al. Jan 2006 A1
20060035035 Sakama Feb 2006 A1
20060079086 Boit et al. Apr 2006 A1
20060091493 Wu May 2006 A1
20060105107 Lindeboom et al. May 2006 A1
20060105515 Amos et al. May 2006 A1
20060105557 Klee et al. May 2006 A1
20060110934 Fukuchi May 2006 A1
20060124613 Kumar et al. Jun 2006 A1
20060128150 Gandikota et al. Jun 2006 A1
20060175012 Lee Aug 2006 A1
20060207633 Kim et al. Sep 2006 A1
20060226117 Bertram et al. Oct 2006 A1
20060240187 Weidman Oct 2006 A1
20060279025 Heidari et al. Dec 2006 A1
20060290017 Yanagisawa Dec 2006 A1
20070012402 Sneh Jan 2007 A1
20070045753 Pae et al. Mar 2007 A1
20070087533 Nishikawa et al. Apr 2007 A1
20070095651 Ye et al. May 2007 A1
20070111519 Lubomirsky et al. May 2007 A1
20070116873 Li et al. May 2007 A1
20070145416 Ohta Jun 2007 A1
20070187386 Kim et al. Aug 2007 A1
20070204797 Fischer Sep 2007 A1
20070209931 Miller Sep 2007 A1
20070212850 Ingle et al. Sep 2007 A1
20070243317 Du Bois et al. Oct 2007 A1
20070254471 Kameyama et al. Nov 2007 A1
20070254477 Muraoka et al. Nov 2007 A1
20070256559 Chen et al. Nov 2007 A1
20080001196 Cheng Jan 2008 A1
20080073691 Konno et al. Mar 2008 A1
20080074658 Davis et al. Mar 2008 A1
20080076230 Cheng Mar 2008 A1
20080083109 Shibata et al. Apr 2008 A1
20080085611 Khandelwal et al. Apr 2008 A1
20080115726 Ingle et al. May 2008 A1
20080121882 Hwang et al. May 2008 A1
20080132050 Lavoie Jun 2008 A1
20080210273 Joe Sep 2008 A1
20080241384 Jeong et al. Oct 2008 A1
20080251904 Theuss et al. Oct 2008 A1
20080268635 Yu et al. Oct 2008 A1
20080311711 Hampp et al. Dec 2008 A1
20080315762 Hamada et al. Dec 2008 A1
20090018688 Chandler et al. Jan 2009 A1
20090029126 Tanikawa Jan 2009 A1
20090035915 Su Feb 2009 A1
20090035952 Chua et al. Feb 2009 A1
20090053426 Lu et al. Feb 2009 A1
20090053893 Khandelwal et al. Feb 2009 A1
20090081884 Yokota et al. Mar 2009 A1
20090087981 Suzuki et al. Apr 2009 A1
20090110622 Chiu et al. Apr 2009 A1
20090148965 Kim et al. Jun 2009 A1
20090180847 Guo et al. Jul 2009 A1
20090183992 Fredenberg et al. Jul 2009 A1
20090186481 Suzuki et al. Jul 2009 A1
20090233449 Lebouitz et al. Sep 2009 A1
20090243126 Washiya et al. Oct 2009 A1
20090246952 Ishizaka et al. Oct 2009 A1
20090269507 Yu et al. Oct 2009 A1
20090283735 Li et al. Nov 2009 A1
20090298257 Lee et al. Dec 2009 A1
20100006211 Wolk et al. Jan 2010 A1
20100012292 Yamazaki Jan 2010 A1
20100022068 Chen et al. Jan 2010 A1
20100032838 Kikuchi et al. Feb 2010 A1
20100072569 Han et al. Mar 2010 A1
20100102417 Ganguli et al. Apr 2010 A1
20100173470 Lee et al. Jul 2010 A1
20100173495 Thakur et al. Jul 2010 A1
20100196626 Choi et al. Aug 2010 A1
20100203725 Choi et al. Aug 2010 A1
20100248419 Woodruff et al. Sep 2010 A1
20100273324 Lin et al. Oct 2010 A1
20100297854 Ramamurthy et al. Nov 2010 A1
20100304027 Lee et al. Dec 2010 A1
20100320459 Umeda et al. Dec 2010 A1
20100323517 Baker-O'Neal et al. Dec 2010 A1
20100327422 Lee et al. Dec 2010 A1
20110011737 Wu et al. Jan 2011 A1
20110048524 Nam et al. Mar 2011 A1
20110124192 Ganguli et al. May 2011 A1
20110151677 Wang et al. Jun 2011 A1
20110163449 Kelly et al. Jul 2011 A1
20110165781 Liang et al. Jul 2011 A1
20110174363 Munteanu Jul 2011 A1
20110198736 Shero et al. Aug 2011 A1
20110204518 Arunachalam Aug 2011 A1
20110233778 Lee et al. Sep 2011 A1
20110237019 Horng et al. Sep 2011 A1
20110240464 Rasheed et al. Oct 2011 A1
20110263091 Yamazaki Oct 2011 A1
20110303147 Tachibana et al. Dec 2011 A1
20110305836 Murata et al. Dec 2011 A1
20120048304 Kitajima et al. Mar 2012 A1
20120056173 Pieralisi Mar 2012 A1
20120060868 Gray Mar 2012 A1
20120100678 Sako et al. Apr 2012 A1
20120112224 Le Bellac et al. May 2012 A1
20120138146 Furuhata et al. Jun 2012 A1
20120142192 Li et al. Jun 2012 A1
20120142198 Wang et al. Jun 2012 A1
20120153483 Akolkar et al. Jun 2012 A1
20120175822 Inamiya et al. Jul 2012 A1
20120252207 Lei et al. Oct 2012 A1
20120252210 Tohnoe Oct 2012 A1
20120258602 Subramani et al. Oct 2012 A1
20120285492 Lee et al. Nov 2012 A1
20120304485 Hayashi et al. Dec 2012 A1
20120309190 Kelly et al. Dec 2012 A1
20130068391 Mazzocco et al. Mar 2013 A1
20130069174 Chuang et al. Mar 2013 A1
20130194350 Watanabe et al. Aug 2013 A1
20130233170 Spiegelman et al. Sep 2013 A1
20130241037 Jeong et al. Sep 2013 A1
20130256125 Young et al. Oct 2013 A1
20130277760 Lu et al. Oct 2013 A1
20130288485 Liang et al. Oct 2013 A1
20130302916 Kim et al. Nov 2013 A1
20130330042 Nara et al. Dec 2013 A1
20130337171 Sasagawa Dec 2013 A1
20140003892 Yamamoto et al. Jan 2014 A1
20140023320 Lee et al. Jan 2014 A1
20140034632 Pan et al. Feb 2014 A1
20140045300 Chen et al. Feb 2014 A1
20140051264 Mallick et al. Feb 2014 A1
20140076494 Miyashita et al. Mar 2014 A1
20140102877 Yamazaki Apr 2014 A1
20140134827 Swaminathan et al. May 2014 A1
20140138802 Starostine et al. May 2014 A1
20140144462 Verhaverbeke et al. May 2014 A1
20140159135 Fujimoto et al. Jun 2014 A1
20140183743 Matsumoto et al. Jul 2014 A1
20140213070 Hong et al. Jul 2014 A1
20140231384 Underwood et al. Aug 2014 A1
20140234583 Ryu et al. Aug 2014 A1
20140235068 Ashihara et al. Aug 2014 A1
20140239291 Son et al. Aug 2014 A1
20140264237 Chen et al. Sep 2014 A1
20140268080 Beasley et al. Sep 2014 A1
20140273335 Abushama Sep 2014 A1
20140284821 Hubbard Sep 2014 A1
20140319129 Ahmad Oct 2014 A1
20140319462 Huang et al. Oct 2014 A1
20140322921 Ahmad et al. Oct 2014 A1
20150000870 Hosotani et al. Jan 2015 A1
20150021672 Chuang et al. Jan 2015 A1
20150024592 Chandrashekar et al. Jan 2015 A1
20150050807 Wu et al. Feb 2015 A1
20150056819 Wong et al. Feb 2015 A1
20150091009 Yamazaki et al. Apr 2015 A1
20150093891 Zope et al. Apr 2015 A1
20150099342 Tsai et al. Apr 2015 A1
20150144999 Ching et al. May 2015 A1
20150145002 Lee et al. May 2015 A1
20150159272 Yoon et al. Jun 2015 A1
20150179501 Jhaveri et al. Jun 2015 A1
20150197455 Pranov Jul 2015 A1
20150203961 Ha et al. Jul 2015 A1
20150255581 Lin et al. Sep 2015 A1
20150279635 Subramani et al. Oct 2015 A1
20150292736 Hirson et al. Oct 2015 A1
20150309073 Mirkin et al. Oct 2015 A1
20150322286 Cabrini et al. Nov 2015 A1
20150348824 Kuenle et al. Dec 2015 A1
20150357195 Lam et al. Dec 2015 A1
20150364348 Park et al. Dec 2015 A1
20160027887 Yuan et al. Jan 2016 A1
20160035600 Rivera et al. Feb 2016 A1
20160053366 Stowell et al. Feb 2016 A1
20160064209 Lee et al. Mar 2016 A1
20160064482 Hashemi et al. Mar 2016 A1
20160076149 Yamazaki et al. Mar 2016 A1
20160086831 Rivera et al. Mar 2016 A1
20160093726 Ching et al. Mar 2016 A1
20160111272 Girard et al. Apr 2016 A1
20160111337 Hatcher et al. Apr 2016 A1
20160118260 Mebarki et al. Apr 2016 A1
20160118391 Zhao et al. Apr 2016 A1
20160126104 Shaviv et al. May 2016 A1
20160163540 Liao et al. Jun 2016 A1
20160181414 Huang et al. Jun 2016 A1
20160186363 Merzaghi et al. Jun 2016 A1
20160204027 Lakshmanan et al. Jul 2016 A1
20160208414 Odawara et al. Jul 2016 A1
20160260526 Otto Sep 2016 A1
20160268127 Yamazaki Sep 2016 A1
20160273758 Fujimura Sep 2016 A1
20160274454 Beasley et al. Sep 2016 A1
20160284882 Jang Sep 2016 A1
20160308048 Ching et al. Oct 2016 A1
20160314964 Tang et al. Oct 2016 A1
20160329190 Evans et al. Nov 2016 A1
20160329458 Evans et al. Nov 2016 A1
20160334162 Kim et al. Nov 2016 A1
20160336405 Sun et al. Nov 2016 A1
20160336475 Mackie et al. Nov 2016 A1
20160353522 Rathi et al. Dec 2016 A1
20160355927 Weaver et al. Dec 2016 A1
20160358809 Brown et al. Dec 2016 A1
20160358815 Yu et al. Dec 2016 A1
20160372319 Zeng et al. Dec 2016 A1
20160377972 Hofmann et al. Dec 2016 A1
20160379853 Schaller et al. Dec 2016 A1
20160379854 Vopat et al. Dec 2016 A1
20170005188 Cheng et al. Jan 2017 A1
20170005204 Hosoba et al. Jan 2017 A1
20170011932 Pethe et al. Jan 2017 A1
20170053784 Subramani et al. Feb 2017 A1
20170053946 Matsuzaki et al. Feb 2017 A1
20170084487 Chebiam et al. Mar 2017 A1
20170104062 Bi et al. Apr 2017 A1
20170110616 Dissanayake et al. Apr 2017 A1
20170117379 Chen et al. Apr 2017 A1
20170140996 Lin et al. May 2017 A1
20170160012 Kobayashi et al. Jun 2017 A1
20170162413 Rebstock Jun 2017 A1
20170194430 Wood et al. Jul 2017 A1
20170200642 Shaviv Jul 2017 A1
20170253968 Yahata Sep 2017 A1
20170263702 Chan et al. Sep 2017 A1
20170263773 Yamazaki Sep 2017 A1
20170287842 Fu et al. Oct 2017 A1
20170301767 Niimi et al. Oct 2017 A1
20170314125 Fenwick et al. Nov 2017 A1
20170317109 Wang et al. Nov 2017 A1
20170358483 Roy et al. Dec 2017 A1
20180003567 Petry et al. Jan 2018 A1
20180019249 Zhang et al. Jan 2018 A1
20180023192 Chandra et al. Jan 2018 A1
20180033615 Tjandra Feb 2018 A1
20180051368 Liu et al. Feb 2018 A1
20180053725 Edelstein et al. Feb 2018 A1
20180068890 Zope et al. Mar 2018 A1
20180087418 Cadigan et al. Mar 2018 A1
20180096847 Thompson et al. Apr 2018 A1
20180096874 Schaller et al. Apr 2018 A1
20180182856 Lee Jun 2018 A1
20180209037 Citla et al. Jul 2018 A1
20180240682 Lai et al. Aug 2018 A1
20180258533 Liang et al. Sep 2018 A1
20180261480 Liang et al. Sep 2018 A1
20180286674 Manna et al. Oct 2018 A1
20180308669 Bokka et al. Oct 2018 A1
20180315626 Franklin Nov 2018 A1
20180323093 Zhang et al. Nov 2018 A1
20180337027 L'Heureux et al. Nov 2018 A1
20180342384 Wong et al. Nov 2018 A1
20180342396 Wong et al. Nov 2018 A1
20180350563 Manna et al. Dec 2018 A1
20180366328 Ren et al. Dec 2018 A1
20190019708 Weaver et al. Jan 2019 A1
20190057879 Delmas et al. Feb 2019 A1
20190119769 Khan et al. Apr 2019 A1
20190139793 Delmas et al. May 2019 A1
20190148178 Liang et al. May 2019 A1
20190148186 Schaller et al. May 2019 A1
20190157074 Delmas May 2019 A1
20190170591 Petry et al. Jun 2019 A1
20190198367 Liang et al. Jun 2019 A1
20190198368 Weaver et al. Jun 2019 A1
20190228982 Chen et al. Jul 2019 A1
20190229004 Schaller et al. Jul 2019 A1
20190237345 Delmas et al. Aug 2019 A1
20190258153 Nemani et al. Aug 2019 A1
20190259625 Nemani et al. Aug 2019 A1
20190259638 Schaller et al. Aug 2019 A1
20190279879 Singh et al. Sep 2019 A1
20190311896 Balseanu et al. Oct 2019 A1
20190326138 Forderhase et al. Oct 2019 A1
20190360100 Nguyen et al. Nov 2019 A1
20190360633 Schaller et al. Nov 2019 A1
20190368035 Malik et al. Dec 2019 A1
20190371650 Sun et al. Dec 2019 A1
20190375105 Weaver et al. Dec 2019 A1
20200035509 Khan et al. Jan 2020 A1
20200035513 Khan et al. Jan 2020 A1
20200075392 Brown et al. Mar 2020 A1
20200098574 Wong et al. Mar 2020 A1
20210167235 Li et al. Jun 2021 A1
Foreign Referenced Citations (105)
Number Date Country
1280875 Oct 2006 CN
101871043 Oct 2010 CN
104047676 Sep 2014 CN
104089491 Oct 2014 CN
103035513 Oct 2016 CN
0516344 Dec 1992 EP
0670590 Sep 1995 EP
1069213 Jan 2001 EP
1107288 Jun 2001 EP
0840365 Oct 2003 EP
S63-004616 Jan 1988 JP
63067721 Mar 1988 JP
H1218018 Aug 1989 JP
H04355922 Dec 1992 JP
H0521347 Jan 1993 JP
H06283496 Oct 1994 JP
H07048489 May 1995 JP
H07158767 Jun 1995 JP
H08195493 Jul 1996 JP
H09048690 Feb 1997 JP
H9296267 Nov 1997 JP
H10214880 Aug 1998 JP
H10335657 Dec 1998 JP
H11209872 Aug 1999 JP
H11354515 Dec 1999 JP
2000221799 Aug 2000 JP
2000357699 Dec 2000 JP
2001053066 Feb 2001 JP
2001110729 Apr 2001 JP
2001274161 Oct 2001 JP
200351474 Feb 2003 JP
2003166065 Jun 2003 JP
2003188387 Jul 2003 JP
2004127958 Apr 2004 JP
200579528 Mar 2005 JP
2005064269 Mar 2005 JP
2005530343 Oct 2005 JP
2005333015 Dec 2005 JP
2006526125 Nov 2006 JP
2007242791 Sep 2007 JP
2008073611 Apr 2008 JP
2008118118 May 2008 JP
2008153635 Jul 2008 JP
2009129927 Jun 2009 JP
2009539231 Nov 2009 JP
201080949 Apr 2010 JP
2010168607 Aug 2010 JP
2010205854 Sep 2010 JP
201129394 Feb 2011 JP
2011258943 Dec 2011 JP
2012503883 Feb 2012 JP
2012204656 Oct 2012 JP
2013105777 May 2013 JP
2013516788 May 2013 JP
2013179244 Sep 2013 JP
2014019912 Feb 2014 JP
2014103351 Jun 2014 JP
2015067884 Apr 2015 JP
2015086459 May 2015 JP
2015115394 Jun 2015 JP
2015233157 Dec 2015 JP
19980063671 Oct 1998 KR
20010046843 Jun 2001 KR
20030052162 Jun 2003 KR
100422433 Jul 2004 KR
10-20040068969 Aug 2004 KR
20050121750 Dec 2005 KR
100684910 Feb 2007 KR
20070075383 Jul 2007 KR
20090011463 Feb 2009 KR
1020090040867 Apr 2009 KR
10-2009-0064279 Jun 2009 KR
10-2010-0035000 Apr 2010 KR
20110136532 Dec 2011 KR
101287035 Jul 2013 KR
101305904 Sep 2013 KR
20140003776 Jan 2014 KR
20140104112 Aug 2014 KR
101438291 Sep 2014 KR
20140135744 Nov 2014 KR
20150006587 Jan 2015 KR
20150122432 Nov 2015 KR
20160044004 Apr 2016 KR
20160061437 May 2016 KR
200529284 Sep 2005 TW
200721316 Jun 2007 TW
201507174 Feb 2015 TW
201608672 Mar 2016 TW
201708597 Mar 2017 TW
200051938 Sep 2000 WO
03023827 Mar 2003 WO
2004102055 Nov 2004 WO
2005057663 Jun 2005 WO
2008047886 Apr 2008 WO
2008089178 Jul 2008 WO
2010115128 Jan 2011 WO
2011002058 Jan 2011 WO
2011103062 Aug 2011 WO
2012133583 Oct 2012 WO
2014115600 Jul 2014 WO
2015195081 Dec 2015 WO
2016018593 Feb 2016 WO
2016065219 Apr 2016 WO
2016111833 Jul 2016 WO
2018187546 Oct 2018 WO
Non-Patent Literature Citations (68)
Entry
Korean Office Action dated Jul. 16, 2021 for Application No. 10-2020-7007956.
Office Action for Japanese Patent Application No. 2020-543976 dated Jul. 13, 2021.
Taiwan Office Action dated Jul. 28, 2021 for Application No. 107108016.
International Search Report and Written Opinion for International Application No. PCT/US2019/032609 dated Sep. 11, 2019.
Lin, Kevin L. et al.—“Nickel silicide for interconnects”, 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM), IEEE, (XP032808874), May 18, 2015, pp. 169-172.
EPO Extended European Search Report dated Aug. 9, 2019, for European Patent Application No. 19166775.7.
Japanese Office Action dated Mar. 17, 2020, for Japanese Patent Application No. 2019-073230.
Taiwan Office Action dated Mar. 31, 2020, for Taiwan Patent Application No. 108111883.
Korean Office Action dated Aug. 4, 2020, for Korean Patent Application No. 10-2019-0040236.
Japanese Office Action dated Nov. 10, 2020, for Japanese Patent Application No. 2019-073230.
T. Miyake et al., “Effects of atomic hydrogen on Cu reflow process”, AIP Conferenec Proceedings 418, 419 (1998).
International Search Report and Written Opinion dated Aug. 24, 2017 for Application No. PCT/US2017/033862.
Taiwan Office Action for Application No. 106119184 dated Mar. 6, 2019.
Japanese Office Action for Application No. 2018-564195 dated Nov. 19, 2019.
PCT Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority for International Application No. PCT/US2019/061995; dated Mar. 9, 2020; 13 total pages.
International Search Report PCT/2020/046396 dated Nov. 26, 2020 consists of 12 pages.
International Search Report and Written Opinion for PCT/US2021/014991 dated May 17, 2021.
Korean Office Action dated Aug. 26, 2021, for Korean Patent Application No. 10-2020-4016526.
International Search Report and Written Opinion for PCT/US2018/021715 dated Jun. 22, 2018.
International Search Report and Written Opinion from PCT/US2018/034036 dated Aug. 24, 2018.
International Search Report and Written Opinion dated Aug. 24, 2018 for Application No. PCT/US2018/034284.
International Search Report, Application No. PCT/US2018/028258 dated Aug. 9, 2018.
International Search Report and Written Opinion for PCT/US2018/035210 dated Aug. 24, 2018.
International Search Report and Written Opinion for PCT/US2018/037539 dated Oct. 5, 2018.
International Search Report and Written Opinion for PCT/US2018/038822 dated Oct. 26, 2018.
Chen, Yang et al., “Analysis of Supercritical Carbon Dioxide Heat Exchangers in Cooling Process”, International Refrigeration and Air Conditioning Conference at Purdue, Jul. 17-20, 2006, pp. 1-8.
Shimoyama, Takehiro et al., “Porous Aluminum for Heat Exchanger”, Hitachi Chemical, pp. 19-20.
Kato, T. et al., “Heat Transfer Characteristics of a Plate-Fin Type Supercritical/Liquid Helium Heat Exchanger”, ICEC 14 Proceedings Supplement, 1992, pp. 260-263.
Lee, Ho-Saeng et al., “The cooling heat transfer characteristics of the supercritical CO2 in mico-fin tube”, Springer, Oct. 2, 2012, pp. 173-184.
International Search Report and Written Opinion dated Nov. 30, 2018 for Application No. PCT/US2018/041688.
International Search Report and Written Opinion for PCT/US2018/043160 dated Jan. 31, 2019.
International Search Report and Written Opinion dated Jan. 31, 2019 for Application No. PCT/US2018/042760.
International Search Report and Written Opinion for PCT/US2018/059643 dated Feb. 26, 2019.
International Search Report and Written Opinion from PCT/US2019/012161 dated Apr. 30, 2019.
International Search Report and Written Opinion for PCT/US2019/015339 dated May 15, 2019.
International Search Report and Written Opinion for PCT/US2019/015332 dated May 15, 2019.
International Search Report and Written Opinion for PCT/US2018/059676 dated May 23, 2019.
International Search Report and Written Opinion for PCT/US2019/023431 dated Jul. 5, 2019.
Haskel Pressure on Demand, Pneumatic and Hydraulic Driven Gas Boosters, Apr. 30, 2016, 36 pp.
Taiwan Office Action dated Jul. 3, 2019 for Application No. 107136151.
International Search Report and Written Opinion for International Application No. PCT/US2019/029602 dated Aug. 14, 2019.
Taiwan Office Action dated Jun. 11, 2019 for Application No. 107138905.
Office Action for Japanese Application No. 2018-546484 dated Oct. 8, 2019.
International Search Report and Written Opinion for International Application No. PCT/US2019/040195 dated Oct. 25, 2019.
Taiwan Office Action dated Nov. 19, 2019 for Application No. 108103415.
Office Action for Japanese Application No. 2018-517285 dated Oct. 23, 2019.
Office Action for Taiwan Patent Application No. 108111501 dated Nov. 14, 2019.
International Search Report and Written Opinion for PCT/US2018/050464 dated Jan. 4, 2019.
International Search Report and Written Opinion for PCT/US2019/056447 dated Feb. 7, 2020.
KR Office Action dated Feb. 4, 2020 for Application No. 10-2018-0133399.
Faiwan Office Action dated Feb. 21, 2020 for Application No. 108138212.
International Search Report and Written Opinion for International Application No. PCT/US2019/059659 dated Feb. 26, 2020.
Office Action from Taiwan Patent Application No. 108104585 dated Jan. 30, 2020, with concise statement of relevance.
Pedestal definition from Dictionary.com, printed on Feb. 10, 2020 (year 2020).
Taiwan Office Action dated Oct. 12, 2020 for Application No. 108140559.
Office Action for Japanese Application No. 2019-548976 dated Oct. 20, 2020.
European International Search Report issued to 18764622.9 dated Nov. 20, 2020.
Office Action for Korean Application No. 10-2019-7029776 dated Jan. 18, 2021.
Taiwan Office Action dated May 4, 2020 for Application No. 107121254.
Japanese Office Action dated Feb. 16, 2021 for Application No. 2019-564964.
Extended European International Search Report issued to 18831823.2 dated Mar. 19, 2021.
Office Action for Korean Application No. 10-2020-7004396 dated Apr. 5, 2021.
Japanese Office Action dated Apr. 20, 2021 for Application No. JP 2020-508603.
Korean Office Action issued to Application No. 10-2019-7038099 dated May 1, 2021.
Office Action for Japanese Patent Application No. 2019-548976 dated May 25, 2021.
Office Action for Japanese Patent Application No. 2020-500629 dated Jun. 8, 2021.
Extended European Search Report for EP Application No. 18876650.5 dated Jul. 19, 2021.
Extended European Search Report for EP Application No. 18806169.1 dated Jul. 19, 2021.
Related Publications (1)
Number Date Country
20210193461 A1 Jun 2021 US
Provisional Applications (1)
Number Date Country
62668558 May 2018 US
Divisions (1)
Number Date Country
Parent 16396167 Apr 2019 US
Child 17192882 US