3D floorplanning using 2D and 3D blocks

Information

  • Patent Grant
  • 9064077
  • Patent Number
    9,064,077
  • Date Filed
    Monday, March 11, 2013
    11 years ago
  • Date Issued
    Tuesday, June 23, 2015
    9 years ago
Abstract
The disclosed embodiments are directed to systems and method for floorplanning an integrated circuit design using a mix of 2D and 3D blocks that provide a significant improvement over existing 3D design methodologies. The disclosed embodiments provide better floorplan solutions that further minimize wirelength and improve the overall power/performance envelope of the designs. The disclosed methodology may be used to construct new 3D IP blocks to be used in designs that are built using monolithic 3D integration technology.
Description
REFERENCE TO CO-PENDING APPLICATIONS FOR PATENT

The present application for patent is related to the following co-pending U.S. Patent Application(s):

    • “MONOLITHIC 3D IC FLIP-FLOP DESIGN” by Yang Du, Jing Xie and Kambiz Samadi, having application Ser. No. 13/784,915, filed Mar. 5, 2013, assigned to the assignee hereof, and expressly incorporated by reference herein;
    • “MONOLITHIC THREE DIMENSIONAL INTEGRATION OF SEMICONDUCTOR INTEGRATED CIRCUITS” by Yang Du, having application Ser. No. 13/788,224, filed Mar. 7, 2013, assigned to the assignee hereof, and expressly incorporated by reference herein; and
    • “CLOCK DISTRIBUTION NETWORK FOR 3D INTEGRATED CIRCUIT” by Kambiz Samadi, Shreepad Panth, Jing Xie and Yang Du, having application Ser. No. 13/792,486, filed Mar. 11, 2013, assigned to the assignee hereof, and expressly incorporated by reference herein.


FIELD OF DISCLOSURE

The disclosed embodiments are directed in general to the efficient floorplanning of integrated circuits. More specifically, the disclosed embodiments are directed to systems and methods for floorplanning a 3D integrated circuit that minimizes wirelength and improves the overall power/performance envelope of the design.


BACKGROUND

In electronic design automation, a floorplan of an integrated circuit is a schematic representation of tentative placement of its major functional blocks. In modern electronic design process, floorplans are created during the floorplanning stage, which is an early stage in the hierarchical approach to chip design. Floorplanning takes into account some of the geometrical constraints in a design, including for example the location of bonding pads for off-chip connections.


It would be advantageous to implement flip-flops and other integrated circuitry in a 3D format. A 3D semiconductor device (or stacked IC device) can contain two or more semiconductor devices stacked vertically so they occupy less space than two or more conventionally arranged semiconductor devices. The stacked IC device is a single integrated circuit built by stacking silicon wafers and/or ICs and interconnecting them vertically so that they behave as a single device.


Conventionally, the stacked semiconductor devices are wired together using input/output ports either at the perimeter of the device or across the area of the device or both. The input/output ports slightly increase the length and width of the assembly. In some new 3D stacks, through-silicon vias (TSVs) completely or partly replace edge wiring by creating vertical connections through the body of the semiconductor device. By using TSV technology, stacked IC devices can pack a great deal of functionality into a small footprint. This TSV technique is sometimes also referred to as TSS (Through Silicon Stacking).


Device scaling and interconnect performance mismatch has increased exponentially (i.e., up to 50× for global and up to 163× for local interconnects) and is expected to continue to increase even further. This exponential increase in device and interconnect performance mismatch has forced designers to use techniques such as heavy buffering of global interconnects which subsequently has increased chip area and power. Current 3D methodologies only try to assemble 2D blocks into 3D stacks. This approach only helps to reduce the inter-block nets, if applicable, and does not leverage the 3D-IC within the blocks and further improvements are left on the table. The following two references disclose known 3D block-level TSV planning and 3D floorplanning of 2D blocks, respectively: D. H. Kim, R. O. Topaloglu and S. K. Lim, “Block-Level 3D IC Design with Through-Silicon-Via Planning”, Proc. ASPDAC, 2011, pp. 335-340; and J. Knechtel, I. Markov and J. Lienig, “Assembling 2-D Blocks Into Chips”, IEEE Trans. On CAD, 2012, pp. 228-241.


Accordingly, there is a need for systems and methods to improve the capabilities of 3D designs, thereby minimizing wirelength and improving the overall power/performance envelope of the 3D design.


SUMMARY

The disclosed embodiments are directed to systems and method for floorplanning an integrated circuit design using a mix of 2D and 3D blocks that provide a significant improvement over existing 3D design methodologies. The disclosed embodiments provide better floorplan solutions that further minimize wirelength and improve the overall power/performance envelope of the designs. The disclosed embodiments include methodologies that may be used to construct new 3D IP blocks to be used in designs that are built using monolithic 3D integration technology. In electronic design a semiconductor intellectual property (IP) core or IP block is a reusable unit of logic, cell, or chip layout design. IP blocks/cores are typically pre-designed circuitry that can be used as building blocks for a larger design that includes the pre-designed block.


More specifically, the disclosed embodiments include a method of generating a library of blocks to be floorplanned, the steps comprising: assembling a plurality of blocks comprising 2D implementations and 3D implementations; providing a first additional tier for at least one of said plurality of blocks and generating a first re-implementation of said at least one of said plurality of blocks that includes said first additional tier; evaluating at least one performance objective of said first re-implementation to determine whether said at least one performance objective has improved; and adding said first re-implementation to the library of blocks to be floorplanned if a result of said evaluating step is that said at least one performance objective has improved. The above-described method may further comprise the steps of: if said at least one performance parameter improved, providing a second additional tier for said at least one of said plurality of blocks and generating a second reimplementation of said at least one of said plurality of blocks that includes said second additional tier; further evaluating said at least one performance objective for said second re-implementation to determine whether said at least one performance objective has improved; and adding said second re-implementation to the library of blocks to be floorplanned if a result of said further evaluating step is that said at least one performance objective has improved.


The above-described methods of the disclosed embodiments may further include: the step of adding said 3D implementations to the library of blocks to be floorplanned; floorplanning the library of blocks wherein said floorplanning utilizes a simulated annealing 3D floorplan engine and comprises monolithic 3D floorplanning having a network of high density vias; and the step of using said 3D floorplanning to generate an IP block that may be used for a larger design that includes the IP block.





BRIEF DESCRIPTION OF THE DRAWINGS

The accompanying drawings are presented to aid in the description of disclosed embodiments and are provided solely for illustration of the embodiments and not limitation thereof.



FIG. 1 is a flow diagram of the disclosed embodiments illustrating a basic methodology to characterize existing 2D and/or 3D blocks into improved, re-implemented counterpart blocks, if applicable, to improve their power/performance envelope with respect to those of the existing 2D and/or 3D blocks;



FIG. 2 is a flow diagram further illustrating 3D floorplanning of the disclosed embodiments using a mix of improved, re-implemented 2D and/or 3D blocks and a simulated annealing framework to implement the disclosed 3D floorplanning engine;



FIG. 3 is an example of a 3D floorplan with one block being implemented in 3D and rest in 2D; and



FIG. 4 illustrates the 3D pin assignment of the block design of the disclosed embodiments.





DETAILED DESCRIPTION

Aspects of the invention are disclosed in the following description and related drawings directed to specific embodiments of the invention. Alternate embodiments may be devised without departing from the scope of the invention. Additionally, well-known elements of the invention will not be described in detail or will be omitted so as not to obscure the relevant details of the invention.


The word “exemplary” is used herein to mean “serving as an example, instance, or illustration.” Any embodiment described herein as “exemplary” is not necessarily to be construed as preferred or advantageous over other embodiments. Likewise, the terms “embodiments of the invention” does not require that all embodiments of the invention include the discussed feature, advantage or mode of operation.


The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of embodiments of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises”, “comprising,”, “includes” and/or “including”, when used herein, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.


Further, many embodiments are described in terms of sequences of actions to be performed by, for example, elements of a computing device. It will be recognized that various actions described herein can be performed by specific circuits (e.g., application specific integrated circuits (ASICs)), by program instructions being executed by one or more processors, or by a combination of both. Additionally, the sequence of actions described herein can be considered to be embodied entirely within any form of computer readable storage medium having stored therein a corresponding set of computer instructions that upon execution would cause an associated processor to perform the functionality described herein. Thus, the various aspects of the invention may be embodied in a number of different forms, all of which have been contemplated to be within the scope of the claimed subject matter. In addition, for each of the embodiments described herein, the corresponding form of any such embodiments may be described herein as, for example, “logic configured to” perform the described action.



FIG. 1 is a flow diagram illustrating a basic methodology of the disclosed embodiment. The methodology 100 of FIG. 1 characterizes or re-implements existing 2D and/or 3D blocks into multiple counterpart blocks (which may also be 2D and/or 3D), if applicable, to improve their power/performance envelope with respect to those of the existing 2D and/or 3D blocks. Some blocks may perform better when folded across additional tiers. The goal is to further expand and re-implement the existing blocks to come up with a set of improved blocks that outperform their counterparts. Methodology 100 starts at step 102 with an initial netlist that includes existing 2D and/or 3D blocks. At step 104, the number of tiers is increased, and the initial block is then re-implemented at step 106. The re-implementation includes partitioning the netlist across the tiers, placing and routing each tier, and inserting vias. In general, a netlist is a boolean algebra representation of a logical function implemented as generic gates or process specific standard cells. For monolithic 3D applications, the vias are preferably high density inter-tier vias. Step 108 evaluates the objective to determine whether the additional tier added at step 104 improved the design. For example, a weighted sum of the total silicon area, timing and power may be considered the objective. Because the blocks are much smaller than the entire design it is preferable to use at step 108 post-layout timing, power and area values for increased accuracy. If the evaluation at step 108 is satisfactory, i.e., the additional tier improved the block, the improved block is added to the block collection at step 110, and the methodology 100 returns to block 104 to further increase the number of tiers. If the evaluation at step 108 is unsatisfactory, methodology 100 determines that the most recently added tier did not improve the block, and methodology 100 stops. Thereby, methodology 100 identifies blocks that perform better when folded across additional tiers, resulting in a set/library of improved, re-implemented blocks (step 110) that outperform their existing 2D and/or 3D counterparts.



FIG. 2 is a flow diagram of another methodology 200 that further illustrates 3D floorplanning of the disclosed embodiments using the improved, re-implemented mix of 2D and 3D blocks. In the disclosed design scenario, a set of blocks (including the block collection developed at step 110 of FIG. 1) must be floorplanned into a 3D stack. Each block comes as 2D and 3D implementations with varying number of tiers, timing, power and area footprint. The objective is determined by the weighted sum of area footprint, wire length and delay. Other derivative objective functions could be considered depending on the specific design. The output is to determine (i) the choice of block implementation for each block (i.e., 2D or 3D) and (ii) the (x, y, z) coordinate of each block that minimizes the above objective function.


Methodology 200 of FIG. 2 shows a simulated annealing framework to implement the disclosed 3D floorplanning engine. Simulated annealing is an artificial intelligence technique based on the behavior of cooling metal. It can be used to find solutions to difficult or impossible combinatorial optimization problems. Methodology 200 begins at step 202 by identifying an initial solution wherein a global parameter T is set to an initial value of T0. Although the global parameter T is generally referred to as a temperature, T is not related to a physical temperature. Instead, T is a global parameter used to control advancement of the simulated annealing-based 3D floorplan engine. Step 204 then perturbs a solution, and step 206 evaluates whether a quality of service (QoS) parameter is below its best level. QoS is the ability to provide different priority to different applications, users, or data flows, or to guarantee a certain level of performance to a data flow. For example, a required bit rate, delay, jitter, packet dropping probability and/or bit error rate may be guaranteed. If the determination at step 206 is no, methodology 200 accepts at step 208 the solution having a probability proportional to T, then proceeds to step 212. If the determination at step 206 is yes, methodology 200 accepts the solution at step 210, then proceeds to step 212. Step 212 determines whether the number of moves is greater than the maximum moves for a given T, which is set at Mmax. If the answer to step 212 is no, methodology 200 returns to step 204 and further perturbs the solution. If the answer to step 212 is yes, step 214 lowers global parameter T, then evaluates at step 216 whether T is now less than Tmin (a stopping “temperature”). If the answer to step 216 is yes, methodology 200 stops. If the answer to step 216 is no, methodology 200 returns to step 204 and further perturbs the solution.



FIG. 3 is an example of a multi-tier integrated circuit floorplan 10 that can result from the methodologies 100, 200 of FIGS. 1 and 2. The overall floorplan 10 is 3D, with one block being implemented in 3D and the rest in 2D. As illustrated, the multi-tier integrated circuit 10 includes a first tier 12 and a second tier 14. One block 30 is implemented in 3D spanning tier 12 and tier 14. The remaining block, 20a, 20b, 20c, 20d and 20e are implemented in 2D and spread distributed between tier 12 and tier 14. A network of vias (represented by arrow 32) provide communication paths for the multi-tier integrated circuit 10.



FIG. 4 illustrates a methodology 300 for making pin assignments for the 3D block design of the disclosed embodiments. The block netlist is evaluated and step 302 determines whether the 3D block is a hard macro. As a hard macro, the logic components and the physical pathways and wiring patterns between the components are specified. Thus, if the 3D block is a hard macro, the pin assignment as well as the block design have already been done. If the 3D block is not a hard macro, the interconnections of the required logic elements have been specified but not the physical wiring pattern. Hence, the 3D block is a soft macro. If the 3D block is a soft macro, the methodology 300 at step 304 allows the pins to be on each tier of the block then performs 3D floorplanning. Knowing the floorplan solution/inter-block connectivity, the pin locations can now be fixed. Using the pin assignment and a partitioning solution across the tiers, step 306 implements the block. The partitioning can be done using 2D or 3D methodologies.


The disclosed embodiments are particularly advantageous when the 3D implementation technology is of a type known generally as “monolithic.” In monolithic 3D integrated circuits, electronic components and their connections (wiring) are built sequentially in layers on a single semiconductor wafer, which is then diced into 3D ICs. Initially each subsequent layer has no devices in it, hence there is no need for alignment resulting in greater integration density. A network of high density vias provides the communication paths for monolithic 3D ICs. Further, the disclosed embodiments include methodologies that may be used to construct new 3D IP blocks to be used in designs that are built using monolithic 3D integration technology. The new 3D IP blocks/cores of the disclosed embodiments can be utilized as reusable units of logic, cell, or chip layouts, which may be used for a larger design that includes the pre-designed block.


While the foregoing disclosure and illustrations show embodiments of the invention, it should be noted that various changes and modifications could be made herein without departing from the scope of the invention as defined by the appended claims. For example, the functions, steps and/or actions of the method claims in accordance with the embodiments of the invention described herein need not be performed in any particular order. Furthermore, although elements of the invention may be described or claimed in the singular, the plural is contemplated unless limitation to the singular is explicitly stated.


Those of skill in the relevant arts will also appreciate that the various illustrative logical blocks, modules, circuits, and algorithm steps described in connection with the embodiments disclosed herein may be implemented as electronic hardware, computer software, or combinations of both. To clearly illustrate this interchangeability of hardware and software, various illustrative components, blocks, modules, circuits, and steps have been described above generally in terms of their functionality. Whether such functionality is implemented as hardware or software depends upon the particular application and design constraints imposed on the overall system. Skilled artisans may implement the described functionality in varying ways for each particular application, but such implementation decisions should not be interpreted as causing a departure from the scope of the present invention.


The methods, sequences and/or algorithms described in connection with the embodiments disclosed herein may be embodied directly in hardware, in a software module executed by a processor, or in a combination of the two. A software module may reside in RAM memory, flash memory, ROM memory, EPROM memory, EEPROM memory, registers, hard disk, a removable disk, a CD-ROM, or any other form of storage medium known in the art. An exemplary storage medium is coupled to the processor such that the processor can read information from, and write information to, the storage medium. In the alternative, the storage medium may be integral to the processor. Accordingly, an embodiment of the invention can include a computer readable media embodying a method for performing the disclosed and claimed embodiment. Accordingly, the invention is not limited to illustrated examples and any means for performing the functionality described herein are included in embodiments of the invention.

Claims
  • 1. A method of generating a library of circuit blocks to be floorplanned into a 3D integrated circuit, the steps comprising: assembling, by a computing device, a plurality of circuit blocks comprising 2D circuit implementations and 3D circuit implementations;providing a first additional tier for at least one of the plurality of circuit blocks and generating a first re-implementation of the at least one of the plurality of circuit blocks that includes the first additional tier;evaluating at least one performance objective of the first re-implementation to determine whether the at least one performance objective has improved; andadding the first re-implementation to the library of circuit blocks to be floorplanned into the 3D integrated circuit if a result of the evaluating step is that at least one performance objective has improved,wherein the library of circuit blocks to be floorplanned into the 3D integrated circuit comprises a library of 3D monolithic circuit blocks, wherein at least one 3D monolithic circuit block includes one or more electronic components built sequentially in two or more layers on a single semiconductor wafer.
  • 2. The method of claim 1, further comprising the steps of: if the at least one performance parameter improved, providing a second additional tier for the at least one of the plurality of circuit blocks and generating a second re-implementation of the at least one of the plurality of circuit blocks that includes the second additional tier;further evaluating the at least one performance objective for the second re-implementation to determine whether at least one performance objective has improved; andadding the second re-implementation to the library of 3D monolithic circuit blocks to be floorplanned into the 3D integrated circuit if a result of further evaluating step is that the at least one performance objective has improved.
  • 3. The method of 2, further comprising the steps of floorplanning the library of 3D monolithic circuit blocks into the 3D integrated circuit.
  • 4. The method of claim 3, wherein the step of floorplanning the library of 3D monolithic circuit blocks results in an IP circuit block that may be used for a larger circuit design that includes the IP circuit block.
  • 5. The method of claim 4, wherein the step of floorplanning the library of 3D monolithic circuit blocks results in a monolithic 3D circuit floorplan having a network of high density vias.
  • 6. The method of claim 5, wherein the network of high density vias comprises inter-tier vias.
  • 7. The method of claim 3, wherein the step of floorplanning the library of 3D monolithic circuit blocks comprises floorplanning the library of 3D monolithic circuit blocks using a simulated annealing 3D floorplan engine.
  • 8. The method of claim 3, further comprising determining pin assignments for the floorplanned library of 3D monolithic circuit blocks, wherein pin assignments are determined by: determining whether the floorplanned library of 3D monolithic circuit blocks comprises a hard macro;accepting existing pin assignments if the floorplanned library of 3D monolithic circuit blocks comprises a hard macro; andif the floorplanned library of 3D monolithic circuit blocks comprises a soft macro allowing pins to be on each tier of the floorplanned library of 3D monolithic circuit blocks and fixing a location of the pins using 2D partitioning methodologies.
  • 9. The method of claim 1, wherein at least one of the 3D monolithic circuit block includes at least one electronic component on a single semiconductor wafer.
  • 10. The method of claim 9, wherein the single semiconductor wafer is diced into 3D integrated circuits (ICs).
  • 11. An integrated circuit, comprising: at least one 3D monolithic circuit block having one or more electronic components built sequentially in two or more layers on a single semiconductor wafer; andat least one 2D circuit block coupled to the at least one 3D monolithic circuit block.
  • 12. The integrated circuit of claim 11, further comprising: a first tier; anda second tier coupled to the first tier.
  • 13. The integrated circuit of claim 12, wherein the at least one 3D monolithic circuit block spans the first tier and the second tier.
  • 14. The integrated circuit of claim 13, wherein the at least one 2D circuit block is distributed on the first tier.
  • 15. The integrated circuit of claim 13, wherein the at least one 2D circuit block is distributed on the second tier.
  • 16. The integrated circuit of claim 12, further comprising a network of vias coupling the first tier to the second tier.
  • 17. A non-transitory computer-readable storage medium including data representing a library of circuit blocks that, when accessed by a machine, cause the machine to perform operations comprising: assembling by the machine a plurality of circuit blocks comprising 2D implementations and 3D implementations of the library of circuit blocks;providing a first additional tier for at least one of the plurality of circuit blocks and generating a first re-implementation of the at least one of the plurality of circuit blocks that includes said first additional tier;evaluating at least one performance objective of the first re-implementation to determine whether the at least one performance objective has improved; andadding the first re-implementation to the library of circuit blocks to be floorplanned into a 3D integrated circuit, if a result of the evaluating step is that at least one performance objective has improved,wherein the library of circuit blocks to be floorplanned comprises a library of 3D monolithic circuit blocks, wherein at least one 3D monolithic circuit block includes one or more electronic components built sequentially in two or more layers on a single semiconductor wafer.
  • 18. The non-transitory computer-readable storage medium of claim 17, further including data representing the library of circuit blocks that, when accessed by the machine, cause the machine to perform operations of: if the at least one performance parameter improved, providing a second additional tier for the at least one of the plurality of circuit blocks and generating a second re-implementation of the at least one of the plurality of circuit blocks that includes the second additional tier; further evaluating the at least one performance objective for the second re-implementation to determine whether at least one performance objective has improved; andadding the second re-implementation to the library of 3D monolithic circuit blocks to be floorplanned into a 3D integrated circuit if a result of further evaluating step is that the at least one performance objective has improved.
CLAIM OF PRIORITY UNDER 35 U.S.C. §119

The present application for patent claims priority to the following: Provisional Application No. 61/730,743 entitled “3D FLOORPLANNING USING 2D AND 3D BLOCKS,” filed Nov. 28, 2012, and assigned to the assignee hereof and hereby expressly incorporated by reference herein.Provisional Application No. 61/730,755 entitled “CLOCK DISTRIBUTION NETWORK FOR 3D INTEGRATED CIRCUIT,” filed Nov. 28, 2012, and assigned to the assignee hereof and hereby expressly incorporated by reference herein.

US Referenced Citations (122)
Number Name Date Kind
5606186 Noda Feb 1997 A
5636125 Rostoker et al. Jun 1997 A
5724557 McBean, Sr. Mar 1998 A
6040203 Bozso et al. Mar 2000 A
6125217 Paniccia et al. Sep 2000 A
6260182 Mohan et al. Jul 2001 B1
6295636 Dupenloup Sep 2001 B1
6305001 Graef Oct 2001 B1
6374200 Nakagawa Apr 2002 B1
6448168 Rao et al. Sep 2002 B1
6627985 Huppenthal et al. Sep 2003 B2
6727530 Shen et al. Apr 2004 B1
6754877 Srinivasan Jun 2004 B1
6834380 Khazei Dec 2004 B2
6846703 Shimoda et al. Jan 2005 B2
6965527 Fasoli et al. Nov 2005 B2
6979630 Walitzki Dec 2005 B2
7107200 Korobkov Sep 2006 B1
7173327 Siniaguine Feb 2007 B2
7209378 Nejad et al. Apr 2007 B2
7280397 Scheuerlein Oct 2007 B2
7288418 Barge et al. Oct 2007 B2
7298641 Madurawe et al. Nov 2007 B2
7356781 Koeder et al. Apr 2008 B2
7459716 Toda et al. Dec 2008 B2
7546571 Mankin et al. Jun 2009 B2
7579654 Couillard et al. Aug 2009 B2
7622955 Vilangudipitchai et al. Nov 2009 B2
7653884 Furnish et al. Jan 2010 B2
7663620 Robertson et al. Feb 2010 B2
7669152 Tcherniaev et al. Feb 2010 B1
7796092 Holly et al. Sep 2010 B2
7877719 He Jan 2011 B2
7964916 Or-Bach et al. Jun 2011 B2
7969193 Wu et al. Jun 2011 B1
7989226 Peng Aug 2011 B2
8006212 Sinha et al. Aug 2011 B2
8026521 Or-Bach et al. Sep 2011 B1
8046727 Solomon Oct 2011 B2
8059443 McLaren et al. Nov 2011 B2
8060843 Wang et al. Nov 2011 B2
8114757 Or-Bach et al. Feb 2012 B1
8115511 Or-Bach Feb 2012 B2
8136071 Solomon Mar 2012 B2
8146032 Chen et al. Mar 2012 B2
8164089 Wu et al. Apr 2012 B2
8208282 Johnson et al. Jun 2012 B2
8218377 Tandon et al. Jul 2012 B2
8222696 Yamazaki et al. Jul 2012 B2
8230375 Madurawe Jul 2012 B2
8258810 Or-Bach et al. Sep 2012 B2
8298875 Or-Bach et al. Oct 2012 B1
8332803 Rahman Dec 2012 B1
8450804 Sekar et al. May 2013 B2
8576000 Kim et al. Nov 2013 B2
8683416 Trivedi et al. Mar 2014 B1
8701073 Fu et al. Apr 2014 B1
8803206 Or-Bach et al. Aug 2014 B1
8803233 Cheng et al. Aug 2014 B2
20040036126 Chau et al. Feb 2004 A1
20040113207 Hsu et al. Jun 2004 A1
20040241958 Guarini et al. Dec 2004 A1
20050280061 Lee Dec 2005 A1
20060190889 Cong et al. Aug 2006 A1
20070040221 Gossner et al. Feb 2007 A1
20070147157 Luo et al. Jun 2007 A1
20070244676 Shang et al. Oct 2007 A1
20080276212 Albrecht Nov 2008 A1
20080283995 Bucki et al. Nov 2008 A1
20080291767 Barnes et al. Nov 2008 A1
20090070728 Solomon Mar 2009 A1
20090174032 Maejima et al. Jul 2009 A1
20090302394 Fujita Dec 2009 A1
20100031217 Sinha et al. Feb 2010 A1
20100115477 Albrecht et al. May 2010 A1
20100140790 Setiadi et al. Jun 2010 A1
20100193770 Bangsaruntip et al. Aug 2010 A1
20100229142 Masleid et al. Sep 2010 A1
20100276662 Colinge Nov 2010 A1
20110049594 Dyer et al. Mar 2011 A1
20110053332 Lee Mar 2011 A1
20110059599 Ward et al. Mar 2011 A1
20110078222 Wegener Mar 2011 A1
20110084314 Or-Bach et al. Apr 2011 A1
20110121366 Or-Bach et al. May 2011 A1
20110215300 Guo et al. Sep 2011 A1
20110221502 Meijer et al. Sep 2011 A1
20110222332 Liaw et al. Sep 2011 A1
20110253982 Wang et al. Oct 2011 A1
20110272788 Kim et al. Nov 2011 A1
20110280076 Samachisa et al. Nov 2011 A1
20110298021 Tada et al. Dec 2011 A1
20120012972 Takafuji et al. Jan 2012 A1
20120056258 Chen Mar 2012 A1
20120129276 Haensch et al. May 2012 A1
20120129301 Or-Bach et al. May 2012 A1
20120152322 Kribus et al. Jun 2012 A1
20120171108 Kim et al. Jul 2012 A1
20120181508 Chang et al. Jul 2012 A1
20120187486 Goto et al. Jul 2012 A1
20120193621 Or-Bach et al. Aug 2012 A1
20120195136 Yoko Aug 2012 A1
20120217479 Chang et al. Aug 2012 A1
20120280231 Ito et al. Nov 2012 A1
20120286822 Madurawe Nov 2012 A1
20120304142 Morimoto et al. Nov 2012 A1
20120305893 Colinge Dec 2012 A1
20120313227 Or-Bach et al. Dec 2012 A1
20130026539 Tang et al. Jan 2013 A1
20130026608 Radu Jan 2013 A1
20130105897 Bangsaruntip et al. May 2013 A1
20130148402 Chang et al. Jun 2013 A1
20130240828 Ota et al. Sep 2013 A1
20130299771 Youn et al. Nov 2013 A1
20140008606 Hussain et al. Jan 2014 A1
20140035041 Pillarisetty et al. Feb 2014 A1
20140085959 Saraswat et al. Mar 2014 A1
20140097868 Ngai Apr 2014 A1
20140145347 Samadi et al. May 2014 A1
20140225218 Du Aug 2014 A1
20140225235 Du Aug 2014 A1
20140269022 Xie et al. Sep 2014 A1
Foreign Referenced Citations (10)
Number Date Country
1432032 Jun 2004 EP
2551898 Jan 2013 EP
2973938 Oct 2012 FR
H06204810 Jul 1994 JP
2001160612 Jun 2001 JP
20010109790 Dec 2001 KR
20080038535 May 2008 KR
2011112300 Sep 2011 WO
2012113898 Aug 2012 WO
2013045985 Apr 2013 WO
Non-Patent Literature Citations (26)
Entry
Cong et al.; “Three Dimensional System Integration”; Springer, Jan. 2011; e-ISBN 978-1-4419-0962-6; pp. 1-246.
Co-pending U.S. Appl. No. 13/784,915, filed Mar. 5, 2013.
Co-pending U.S. Appl. No. 13/788,224, filed Mar. 7, 2013.
Co-pending U.S. Appl. No. 13/792,486, filed Mar. 11, 2013.
Co-pending U.S. Appl. No. 13/792,592, filed Mar. 11, 2013.
Fujio I. et al., “Level Conversion for Dual-Supply Systems”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 12, No. 2, Feb. 2004, pp. 185-195.
Mototsugu H. et al., “A Top-Down Low Power Design Technique Using Clustered Voltage Scaling with Variable Supply-Voltage Scheme”, IEEE 1998 Custom Integrated Circuits Conference, pp. 495-498.
Arunachalam V., et al., “Low-power clock distribution in microprocessor”, Proceedings of the 18th ACM Great Lakes Symposium on VLSI , GLSVLSI '08, Jan. 1, 2008, 3 pages, XP055106715, New York, USA DOI: 10.1145/1366110.1366212 ISBN: 978-1-59-593999-9 p. 429-p. 434.
Co-pending U.S. Appl. No. 13/792,384, filed Mar. 11, 2013.
Donno M., et al., “Power-aware clock tree planning”, Proceedings of the 2004 International Symposium on Physical Design, ISPD '04, Jan. 1, 2004, 5 pages, XP055106989, New York, New York, USA DOI: 10.1145/981066.981097 ISBN: 978-1-58-113817-7 p. 140-p. 144.
Ganguly S., et al., “Clock distribution design and verification for PowerPC microprocessors”, Computer-Aided Design, 1997, Digest of Technical Papers., 1997 IEEE/AC M International Conference on San Jose, CA, USA Nov. 9-13, 1997, Los Alamitos, CA, USA, IEEE Comput. Soc, US, Nov. 5, 1995, pp. 58-61, XP032372227, DOI: 10.1109/ICCAD.1995.479991 ISBN: 978-0-8186-8200-1 p. 58-p. 61.
Tsao C.W.A., et al., “UST/DME: a clock tree router for general skew constraints”, Computer Aided Design, 2000, ICCAD-2000, IEEE/ACM International Conference on, IEEE, Nov. 5, 2000, pp. 400-405, XP032402965, DOI: 10.1109/ICCAD.2000.896505 ISBN: 978-0-7803-6445-5 p. 400-p. 401.
Xie J., et al., “CPDI: Cross-power-domain interface circuit design in monolithic 3D technology”, Quality Electronic Design (ISQED), 2013 14th International Symposium on, IEEE, Mar. 4, 2013, pp. 442-447, XP032418452, DOI: 10.1109/ISQED.20136523649 ISBN: 978-1-4673-4951-2 Section II. “Monolithic 3D Technology”; figures 1,3.
Cong J. et al., “An automated design flow for 3d microarchitecture evaluation”, Design Automation, 2006. Asia and South Pacific Conference on Jan. 24, 2006, Piscataway, NJ, USA, IEEE, Jan. 24, 2006, pp. 384-389, XP010899545, DOI: 10.1109/ASPDAC.2006.1594713, ISBN: 978-0-7803-9451-3, the whole document.
Freidman, E. G., “Clock Distribution Networks in Synchronous Digital Integrated Circuits”, 2001, IEEE, Proceedings of the IEEE, vol. 89, No. 5, pp. 665-692.
International Search Report and Written Opinion—PCT/US2013/072384—ISA/EPO—Sep. 12, 2014.
Jain A. et al., “Thermala electrical co-optimisation of floorplanning of three-dimensional integrated circuits under manufacturing and physical design constraints”, IET Computers and Digital Techniques,, vol. 5, No. 3, May 9, 2011, pp. 169-178, XP006037867, ISSN: 1751-861X, DOI:10.1049/1ET-CDT:20090107, pp. 170-172.
Khan Q.A., et al., “A Single Supply Level Shifter for Multi-Voltage Systems,” IEEE Proceedings of the 19t h International Conference on VLSI Design (VLSID'06), 2006, 4 pages.
Kim, T-Y., et al., “Clock Tree Syntheis for TSV-Based 3d IC designs”, Oct. 2011, ACM, ACM Transactions on Design Automation of Electronic Systems, vol. 16, No. 4m Article 48, pp. 48:1-48:21.
Kulkarni J., et al., “Capacitive-Coupling Wordline Boosting with Self-Induced VCC Collapse for White VMIN Reduction in 22-nm 8T SRAM,” IEEE International Solid-State Circuits Conference, 2012, pp. 234-236.
Lin, C-T., et al., “CAD reference flow for 3d Via-Last Integrated Circuits”, 2010, IEEE, pp. 187-192.
Lin S., et al., A New Family of Sequential Elements with Built-in Soft Error Tolerance for Dual-VDD Systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2008, vol. 16(10), pp. 1372-1384.
Loh, Gabriel H. et al., “Processor design in 3D die-stacking technologies,” IEEE 2007 p. 31-48.
Minz J. et al., “Block-level 3-D Global Routing With an Application to 3-D Packaging”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, No. 10, Oct. 1, 2006, pp. 2248-2257, XP055137904, ISSN: 0278-0070,DOI:10.1109/TCAD.2005.860952 p. 2249-p. 2252.
Minz J. et al., “Channel and Pin Assignment for Three Dimensional Packaging Routing”, May 24, 2004, pp. 1-6, XP055138056, Georgia Tech. Library. CERCS; GIT-CERCS-04-21, Retrieved from the Internet: URL:http://www.ceres.gatech.edu/tech-reports/tr2004/git-cercs-04-21.pdf.
Bobba S., et al., “Performance Analysis of 3-D Monolithic Integrated Circuits”, 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 1, 2010, pp. 1-4, XP55165273, DOI: 10.1109/3DIC.2010.5751465,ISBN: 978-1-45-770526-7.
Related Publications (1)
Number Date Country
20140149958 A1 May 2014 US
Provisional Applications (2)
Number Date Country
61730743 Nov 2012 US
61730755 Nov 2012 US