The present application relates to semiconductor technology, and more particularly to a semiconductor device including a source/drain metal contact cut and a wrap-around-contact.
With shrinking dimensions of various integrated circuit components, transistors such as field effect transistors (FETs) have experienced dramatic improvements in both performance and power consumption. These improvements may be largely attributed to the reduction in dimensions of components used therein, which in general translate into reduced capacitance, resistance, and increased through-put current from the transistors. Metal oxide semiconductor field effect transistors (MOSFETs) are well suited for use in high-density integrated circuits. As the size of MOSFETs and other devices decreases, the dimensions of source/drain regions, channel regions, and gate electrodes of the devices, also decrease.
FinFETs and nanosheet FETs are types of FETs that can be used in tight pitch applications. Nanosheet FETs include multiple semiconductor channel material nanosheets, each nanosheet being separated by a gate stack including a layer of electrically conductive gate material and a gate dielectric material. The gate stacks wrap around all sides of the nanosheets, thereby forming a gate-all-around (GAA) structure. Epitaxial regions on the ends of the nanosheets form source/drain regions of the nanosheet FETs. Spacers are employed for electrically isolating the gates from the source/drain regions of nanosheet transistors.
The contribution of middle-of-line (MOL) contact resistance to total parasitic resistance is increasing due to aggressive dimensional scaling in advanced complementary metal oxide semiconductor (CMOS) devices. Silicide/source-drain interface resistance can be a major contributor to the total external parasitic resistance. Increasing silicide contact length by wrapping around the total source-drain surface can reduce the overall contact resistance. Trench silicide liner coverage of a source-drain epitaxy as a wrap-around contact to reduce spreading resistance may be important for designing advanced semiconductor devices.
A method to co-integrate a metal trench cut for aggressively scaled contact tip-to-tip and wrap-around-contact formation is provided. A semiconductor device made from the method is also provided in which a metal trench cut region and wrap-around-contacts are present.
In one aspect of the present application, a semiconductor device is provided. In one embodiment of the present application, the semiconductor device includes a dielectric pillar located in contact cut region that is positioned between a first source/drain region of a first transistor and a second source/drain region of a second transistor. A first source/drain (S/D) contact structure is located on a first side of the dielectric pillar and is electrically connected to the first source/drain region. A second S/D contact structure is located on a second side of the dielectric pillar and is electrically connected to the second source/drain region. A first metal semiconductor alloy liner is present that continuously wraps around the first source/drain region, and a second metal semiconductor alloy liner is also present that continuously wraps around the second source/drain region. The semiconductor device further includes a metal conductive liner located on the first side of the dielectric pillar and on the second side of the dielectric pillar. The metal conductive liner that is located on the first side of the dielectric pillar forms an interface with the first S/D contact structure and the first metal semiconductor alloy liner, and the metal conductive liner that is located on the second side of the dielectric pillar forms an interface with the second S/D contact structure and the second metal semiconductor alloy liner.
In another aspect of the present application, a method of forming a semiconductor structure is provided. In one embodiment, the method includes forming a semiconductor structure including a first source/drain region of a first transistor located in a first active area, a second source/drain region of a second transistor located in a second active area and a MOL dielectric layer located above and adjacent to the first source/drain region and the second source/drain region. A source/drain (S/D) trench metal contact structure is then formed which includes a metal semiconductor alloy layer located on each of the first source/drain region and the second source/drain region and a shared contact conductor metal. The S/D trench metal contact structure is then cut to form a contact cut region, wherein the cutting removes the metal semiconductor alloy layer from both the first source/drain region and the second source/drain region in the contact cut region and cuts the shared contact conductive metal into individual S/D contact structures. Additional metal semiconductor alloy layer is thereafter formed on physically exposed surfaces of the first source/drain region and the second source/drain region in the contact cut region. Next, a conductive material liner is selectively deposited in the contact cut region, and thereafter a remaining volume of the contact cut region is filled with a contact cut dielectric material.
The present application will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. It is noted that the drawings of the present application are provided for illustrative purposes only and, as such, the drawings are not drawn to scale. It is also noted that like and corresponding elements are referred to by like reference numerals.
In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide an understanding of the various embodiments of the present application. However, it will be appreciated by one of ordinary skill in the art that the various embodiments of the present application may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the present application.
It will be understood that when an element as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “beneath” or “under” another element, it can be directly beneath or under the other element, or intervening elements may be present. In contrast, when an element is referred to as being “directly beneath” or “directly under” another element, there are no intervening elements present.
Advanced sub-48 nm CPP nanosheet device nodes will require two critical elements to meet scaling requirements which are as follows: (I) Very aggressive contact tip-to-tip requirement (less than 20 nm) which is unattainable with current extreme UV patterning, and (2) Potential needs for wrap-around-contact to meet contact resistance targets. The present application provides a method and semiconductor device to co-integrate the metal trench cut for aggressively scaled contact tip-to-tip and wrap-around-contact.
Notably and as shown in
In some embodiments of the present application (see, for example,
In such embodiments, in which asymmetric metal semiconductor alloy liners are employed, the first source/drain region 16 and the second source/drain region 17 are both asymmetrically shaped source/drain regions having a tip located on a side opposite the contact cut region 26 and a sidewall in the contact cut region 26 that is substantially perpendicular to a horizontal surface of semiconductor substrate 10 that is located beneath the first transistor and the second transistor. The asymmetrically shaped source/drain regions eliminate source/drain tip to tip shorting.
In some embodiments of the present application (see, for example,
In such embodiments, in which symmetric metal semiconductor alloy liners are employed, the first source/drain region 16 and the second source/drain region 17 are both symmetrically shaped source/drain regions having no tips on either side of the first source/drain region 16 and the second source/drain region 17.
In some embodiments of the present application, and as is further illustrated in
In some embodiments, and as is illustrated in
In some embodiments of the present application and is illustrated in each of
In some embodiments of the present application, dielectric pillar 30 extends down to shallow trench isolation structure 12 that separates a first active area, AA1, containing the first transistor from a second active area, AA2, containing the second transistor. This structural configurate provides robust electrically isolation between the first transistor in AA1 and the second transistor in AA2.
In some embodiments of the present application, the dielectric pillar 30 has a topmost surface that is coplanar with a topmost surface of each of the first S/D contact structure 22A, the second S/D contact structure 22B and the metal conductive liner 28.
In some embodiments of the present application, the dielectric pillar 30 extends directly beneath a bottommost surface of each of the first metal semiconductor alloy liner 20L, the second metal semiconductor alloy liner 21L and the metal conductive liner 28.
In some embodiments, the first metal semiconductor alloy liner 20L and the second metal semiconductor alloy liner 21L are both composed of a metal silicide. In other embodiments, the first metal semiconductor alloy liner 20L and the second metal semiconductor alloy liner 21L are both composed of a metal germanide.
In some embodiments, the semiconductor device can further include MOL dielectric layer 18 adjacent to the first source/drain region 16 and the second source/drain region 17.
In some embodiments, the MOL dielectric layer 18 embeds the first metal semiconductor alloy liner 20L, the first S/D contact structure 22A, the second metal semiconductor alloy liner 21L and the second S/D contact structure 20B.
In some embodiments, the first transistor and the second transistor are both nanosheet FETs. In such embodiments, the first transistor includes a plurality of vertically stacked first semiconductor channel material nanosheets 14 and the second transistor includes a plurality of vertically stacked second semiconductor channel material nanosheets 15.
In some embodiments, the first transistor and the second transistor are both finFETs.
The method the present application includes forming a semiconductor structure including first source/drain region 16 of a first transistor located in a first active area, second source/drain region 17 of a second transistor located in a second active area and MOL dielectric layer 18 located above and adjacent to the first source/drain region 16 and the second source/drain region 16. The step is illustrated in
Referring now to
In the present application, the first transistor and the second transistor are non-planar FETs such as, for example, FinFETs or nanosheet FETS. In the present application, a nanosheet transistor is a transistor that includes at least one, preferably a plurality of, semiconductor channel material nanosheet(s) in which a gate structure (including a gate dielectric layer and a gate electrode) is formed wrapping around each semiconductor channel material nanosheet. The nanosheet transistor will include a source/drain region located on each side of the gate structure. The source/drain regions extend outward from a sidewall of each of the semiconductor channel material nanosheets. In the present application, a finFET includes a semiconductor fin extending upward from a surface of a substrate. The semiconductor fin forms a semiconductor channel region in which a gate structure can be formed along the sidewalls and on top of the semiconductor fin. The finFET transistor will include a source/drain region located on each side of the gate structure.
In both embodiments, the source/drain regions are formed utilizing an epitaxial growth process. Throughout the present application, the terms “epitaxial growth” or “epitaxially growing” mean the growth of a semiconductor material on a growth surface of another semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the growth surface of the another semiconductor material. In an epitaxial deposition process, the chemical reactants provided by the source gases are controlled and the system parameters are set so that the depositing atoms arrive at the growth surface of the another semiconductor material with sufficient energy to move around on the growth surface and orient themselves to the crystal arrangement of the atoms of the growth surface. Examples of various epitaxial growth process apparatuses that can be employed in the present application include, e.g., rapid thermal chemical vapor deposition (RTCVD), low-energy plasma deposition (LEPD), ultra-high vacuum chemical vapor deposition (UHVCVD), atmospheric pressure chemical vapor deposition (APCVD) and molecular beam epitaxy (MBE). The temperature for epitaxial deposition typically ranges from 550° C. to 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking. In both the finFET and nanosheet FET embodiments, the source/drain regions can be referred to as epi source/drain regions since they are formed by epitaxial growth as defined above.
Referring now to
In
In the present application, the first transistor is of a first conductivity type, while the second transistor is of a second conductivity type that is opposite in terms of conductivity than the first conductivity type. In one embodiment, the first transistor is an n-type FET, while the second transistor is a p-type FET. In another embodiment, the first transistor is a p-type FET, while the second transistor is an n-type FET. It is noted that the gate structure including the gate dielectric layer and the gate electrode of each of the first and second transistors are not shown in the cross-sectional view Y-Y illustrated in
The semiconductor substrate 10 is composed of a first semiconductor material. The term “semiconductor material” is used throughout the present application to denote a material having semiconducting properties. Examples of semiconductor materials that can be used in the present application in providing the semiconductor substrate 10 include, but are not limited to, silicon (Si), a silicon germanium (SiGe) alloy, a silicon germanium carbide (SiGeC) alloy, germanium (Ge), III/V compound semiconductors or II/VI compound semiconductors. In one example, the semiconductor substrate 10 is composed of Si.
Shallow trench isolation structure 12 can be formed on a physically exposed sub-surface of the semiconductor substrate 10 and surrounds each of AA1 and AA2. The shallow trench isolation structure 12 is composed of any trench dielectric material such as, for example, silicon oxide. In some embodiments, a trench dielectric liner composed of, for example, SiN, can be present along a sidewall and a bottom wall of the trench dielectric material. The shallow trench isolation structure 12 can have a topmost surface that is coplanar with, or slightly above or below, a topmost surface of the semiconductor substrate 10. The shallow trench isolation structure 12 can be formed by depositing the optional trench dielectric liner material and the trench dielectric material in a trench formed into the semiconductor substrate 10, and thereafter performing an etch back process.
Each first semiconductor channel material nanosheet 14 (or semiconductor fin) is composed of a second semiconductor material, while each second semiconductor channel material nanosheet 15 (or second semiconductor fin) is composed of a third semiconductor material. The second semiconductor material can be compositionally the same as, or compositionally different from, the third semiconductor material. The second semiconductor material and/or the third semiconductor material can be compositionally the same as, or compositionally different from, the first semiconductor material that provides the semiconductor substrate 10. In one embodiment, the second semiconductor material that provides each first semiconductor channel material nanosheet 14 (or first semiconductor fin) can provide high channel mobility for n-type FET devices, while the third semiconductor material that provides each second semiconductor channel material nanosheet 15 (or second semiconductor fin) can provide high channel mobility for p-type FET devices. In another embodiment, the second semiconductor material that provides each first semiconductor channel material nanosheet 14 (or first semiconductor fin) can provide high channel mobility for p-type FET devices, while the third semiconductor material that provides each second semiconductor channel material nanosheet 15 (or second semiconductor fin) can provide high channel mobility for n-type FET devices.
The first source/drain region 16 is an epitaxial source/drain region that is composed of a fourth semiconductor material and a first dopant, while the second source/drain region 17 is also an epitaxial source/drain region that is composed of a fifth semiconductor material and a second dopant, which is of a different conductivity type than the first dopant. As used herein, a “source/drain” region can be a source region or a drain region depending on subsequent wiring and application of voltages during operation of the transistor. The fourth semiconductor material that provides the first source/drain region 16 can be compositionally the same as, or compositionally different from, the fifth semiconductor material that provides the second source/drain region 17. The fourth semiconductor material that provides the first source/drain region 16 can be compositionally the same as, or compositionally different from, the second semiconductor material that provides each first semiconductor channel material nanosheet 14 (or first semiconductor fin). The fifth semiconductor material that provides the second source/drain region 17 can be compositionally the same as, or compositionally different from, the third semiconductor material that provides each second semiconductor channel material nanosheet 15 (or second semiconductor fin).
In one embodiment, the first dopant that is present in the first source/drain region 16 can be a p-type dopant, while the second dopant that is present in the second source/drain region 17 can be an n-type dopant. In another embodiment, the first dopant that is present in the first source/drain region 16 can be n-type dopant, while the second dopant the is present in the second source/drain region 17 can be a p-type dopant. The term “p-type” refers to the addition of impurities to an intrinsic semiconductor that creates deficiencies of valence electrons. In a silicon-containing semiconductor material, examples of p-type dopants, i.e., impurities, include, but are not limited to, boron, aluminum, gallium, phosphorus and indium. “N-type” refers to the addition of impurities that contributes free electrons to an intrinsic semiconductor. In a silicon containing semiconductor material, examples of n-type dopants, i.e., impurities, include, but are not limited to, antimony, arsenic and phosphorous. In one example, both the first source/drain region 16 and the second source/drain region 17 can have a dopant concentration of from 4×1020 atoms/cm3 to 3×102′ atoms/cm3.
As is shown in
The MOL dielectric layer 18 is composed of a dielectric material including, for example, silicon oxide, silicon nitride, undoped silicate glass (USG), fluorosilicate glass (FSG), borophosphosilicate glass (BPSG), a spin-on low-k dielectric layer, a chemical vapor deposition (CVD) low-k dielectric layer, as defined above, or any combination thereof. The MOL dielectric layer 18 can be formed by a deposition process including, but not limited to, CVD, PECVD or spin-on coating. A planarization process such as, for example, chemical mechanical polishing (CMP) typically follows the deposition process.
As mentioned above, the gate structure within each of AA1 and AA2 includes a gate dielectric layer and a gate electrode material. The gate dielectric layer of each gate structure is composed of a gate dielectric material that typically has a dielectric constant of greater than 4.0. Illustrative examples of gate dielectric materials that can be used in providing the gate dielectric layer include, but are not limited to, hafnium dioxide (HfO2), hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiO), lanthanum oxide (La2O3), lanthanum aluminum oxide (LaAlO3), zirconium dioxide (ZrO2), zirconium silicon oxide (ZrSiO4), zirconium silicon oxynitride (ZrSiOxNy), tantalum oxide (TaOx), titanium oxide (TiO), barium strontium titanium oxide (BaO6SfTi2), barium titanium oxide (BaTiO3), strontium titanium oxide (SrTiO3), yttrium oxide (Yb2O3), aluminum oxide (Al2O3), lead scandium tantalum oxide (Pb(Sc,Ta)O3), and/or lead zinc niobite (Pb(Zn,Nb)O). The gate dielectric material can further include dopants such as lanthanum (La), aluminum (Al) and/or magnesium (Mg).
The gate electrode of each gate structure is composed of a gate electrode material. The gate electrode material can include a work function metal (WFM) and optionally a conductive metal. The WFM can be used to set a threshold voltage of the transistor to a desired value. In some embodiments, the WFM can be selected to effectuate an n-type threshold voltage shift. “N-type threshold voltage shift” as used herein means a shift in the effective work-function of the work-function metal-containing material towards a conduction band of silicon in a silicon-containing material. In one embodiment, the work function of the n-type work function metal ranges from 4.1 eV to 4.3 eV. Examples of such materials that can effectuate an n-type threshold voltage shift include, but are not limited to, titanium aluminum, titanium aluminum carbide, tantalum nitride, titanium nitride, hafnium nitride, hafnium silicon, or combinations and thereof. In other embodiments, the WFM can be selected to effectuate a p-type threshold voltage shift. In one embodiment, the work function of the p-type work function metal ranges from 4.9 eV to 5.2 eV. As used herein, “threshold voltage” is the lowest attainable gate voltage that will turn on a semiconductor device, e.g., transistor, by making the channel of the device conductive. The term “p-type threshold voltage shift” as used herein means a shift in the effective work-function of the work-function metal-containing material towards a valence band of silicon in the silicon containing material. Examples of such materials that can effectuate a p-type threshold voltage shift include, but are not limited to, titanium nitride, and tantalum carbide, hafnium carbide, and combinations thereof. The optional conductive metal can include, but is not limited to aluminum (Al), tungsten (W), or cobalt (Co).
The semiconductor structure shown in
Referring now to
Notably, a first metal semiconductor alloy layer 20 is formed on the first source/drain region 16 and a second metal semiconductor alloy layer 21 is formed on the second source/drain region 17. Throughout the present application, the term “metal semiconductor alloy” denotes an alloy that is composed of a semiconductor material and a metal semiconductor alloy forming metal. Throughout the present application, the term “metal semiconductor alloy forming metal” denotes any electropositive metal that reacts with a semiconductor material to form a metal semiconductor alloy. Illustrative examples of metal semiconductor alloy forming metals include, but are not limited to, titanium (Ti), nickel (Ni), cobalt (Co), platinum (Pt), or combinations thereof such as for example, Ni and Pt. In the present application, the first metal semiconductor alloy layer 20 is a first alloy that is composed of the fourth semiconductor material and a metal semiconductor alloy forming metal and the second metal semiconductor alloy layer 21 is a second alloy that is composed of the fifth semiconductor material and a same metal semiconductor alloy forming metal as used in providing the first metal semiconductor alloy layer 20. Typically, the first metal semiconductor alloy layer 20 and the second metal alloy layer 21 are metal silicides such as, for example, TiSi, NiSi, CoSi, or NiPtSi. In addition to metal silicides, the first metal semiconductor alloy layer 20 and the second metal alloy layer 21 be a metal germanide.
In the present, the first metal semiconductor alloy layer 20 is a continuous layer that is formed on a physically exposed surface of the first source/drain region 16 that extends from one tip of the first source/drain region 16 to the second tip of the first source/drain region 16. In the present, the second metal semiconductor alloy layer 21 is a continuous layer that is formed on a physically exposed surface of the second source/drain region 17 that extends from one tip of the second source/drain region 17 to the second tip of the second source/drain region 17. Typically, the first metal semiconductor alloy layer 20 is spaced apart from the second metal semiconductor alloy layer 21 and no direct contact between the first metal semiconductor alloy layer 20 and the second metal semiconductor alloy layer 21 exists.
The shared contact conductor metal 22, which is formed on top of the first metal semiconductor alloy layer 20 and the second metal semiconductor alloy layer 21, can be composed of any conductive metals such as, for example, W, Cu, Al, Co, Ru, Mo, Os, Ir, Rh, or an alloy thereof (e.g., W—Cu alloy or a Al—Cu alloy).
The source/drain (S/D) trench metal contact structure can be formed by first forming a trench in the MOL dielectric layer 18 that physically exposes both the first source/drain region 16 and the second source/drain region 17. The trench can be formed by lithography and etching. Lithography includes forming a photoresist material on a material or stack of materials that needs to be patterned, exposing the photoresist material to a desired pattern of irradiation and then developed the exposed photoresist material. Etching can include a dry etching process such as, for example, reactive ion etching (RIE), ion beam etching (IBE) or plasma etching. In some embodiments, the etch can include a chemical wet etch process in which a chemical etchant is used. The trench extends from an outward facing tip of the first source/drain region 16 to the outward facing tip of the second source/drain region 17. Next, a metal semiconductor alloy forming metal is formed within the trench and on the physically exposed surface of both the first source/drain region 16 and the second source/drain region 17. The metal semiconductor alloy forming metal can be formed by chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), atomic layer deposition (ALD), sputtering or plating. In some embodiments, a diffusion barrier layer (not shown) such as, for example, TaN and/or TiN can then by formed on the metal semiconductor alloy forming metal. In other embodiments, the diffusion barrier layer formation can be omitted. When present, the diffusion barrier layer is formed by a deposition process such as, for example, CVD, PECVD, PVD, or ALD. A metal semiconductor alloy forming anneal such as, for example, a metal silicide forming anneal, is then performed. The metal semiconductor alloy forming anneal is performed at a temperature of typically 550° C. or greater that facilitates a reaction between the metal semiconductor alloy forming metal and an upper semiconductor portion of both the first source/drain region 16 and the second source/drain region 17. This metal semiconductor alloy forming anneal forms the first metal semiconductor alloy layer 20 and the second semiconductor alloy layer 21 mentioned above. After the anneal, the diffusion barrier layer (if the same is present) and any non-reactive metal semiconductor forming metal can be removed utilizing one or more material removal processes (i.e., etching) that is/are selective in removing the diffusion barrier layer (if the same is present) and any non-reactive metal semiconductor forming metal.
Next, the shared contact conductor metal 22 is formed in the trench and on top of the first metal semiconductor alloy 20 and the second metal semiconductor alloy 21 by a deposition of at least one of the above-mentioned conductive metals, followed by a planarization process. The deposition of at least one of the above-mentioned conductive metals includes, CVD, PECVD, PVD, ALD, sputtering or plating. The planarization process includes CMP and/or grinding. After planarization, the shared contact conductor metal 22 has a topmost surface that is typically coplanar with a topmost surface of the MOL dielectric layer 18.
Referring now to
The contact cut region 26 is formed by first forming a patterned hard mask layer 24 on top of the exemplary semiconductor structure shown in
During the etch, the inward facing tip of the first source/drain region 16 and the inward facing tip of the second source/drain region 17 can be removed as is shown in
In some embodiments, the etch can cut into (i.e., recess) the shallow trench isolation structure 12 that is located between AA1 and AA2 and form a recessed region in the shallow trench isolation structure 12 that is located between AA1 and AA2.
Referring now to
The first metal semiconductor alloy liner 20L and the second metal semiconductor alloy liner 21L are both asymmetrical liners that wrap around their respective source/drain region. The first metal semiconductor alloy liner 20L and the second metal semiconductor alloy liner 21L can be referred to as wrap-around contact liners. The term “asymmetrical liner” denotes a liner having a length that is located on one side of the respective source/drain region that is longer than on the other side of the respective source/drain region. In the present application, the longer length of the asymmetric liner is located on the side of the respective source/drain region that has been cut during contact cut region 26. That is, longer length of the asymmetric liner is located on inward facing sidewall of the respective source/drain region.
Referring now to
Referring now to
Referring now to
The ILD material structure 32 is composed of one or more dielectric materials including, for example, silicon oxide, silicon nitride, undoped silicate glass (USG), fluorosilicate glass (FSG), borophosphosilicate glass (BPSG), a spin-on low-k dielectric layer, a chemical vapor deposition (CVD) low-k dielectric layer, or any combination thereof. Each ILD material layer that provides the ILD material structure 32 can be formed by a deposition process including, but not limited to, CVD, PECVD or spin-on coating.
Metal vias V0 and metal lines M1 are formed utilizing a metallization process. In the present application, a lower portion of the ILD material structure 32 is formed, and then the metal vias V0 are formed utilizing a first metallization process. An upper portion of the ILD material structure 32 is then formed and thereafter metal lines M1 can be formed utilizing a second metallization process. Each of the first and second metallization processes include forming openings within the one of the ILD layers of the ILD material structure 32 and thereafter filling (including deposition and planarization) each the opening with at least a contact conductor material. The contact conductor material that can be used for providing the metal vias V0 and metal lines M1 include, for example, a silicide liner, such as Ni, Pt, NiPt, an adhesion metal liner, such as TiN, and conductive metals such as W, Cu, Al, Co, Ru, Mo, Os, Ir, Rh, or an alloy thereof. V0 and M1 can also include one or more contact liners (not shown). In one or more embodiments, the contact liner (not shown) can include a diffusion barrier material. Exemplary diffusion barrier materials include, but are not limited to, Ti, Ta, Ni, Co, Pt, W, Ru, TiN, TaN, WN, WC, an alloy thereof, or a stack thereof such as Ti/TiN and Ti/WC. In one or more embodiments in which a contact liner is present, the contact liner (not shown) can include a silicide liner, such as Ti, Ni, NiPt, etc., and a diffusion barrier material, as defined above.
Referring now to
Referring now to
While the present application has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present application. It is therefore intended that the present application not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.