Digitally tuned capacitors with tapered and reconfigurable quality factors

Information

  • Patent Grant
  • 9293262
  • Patent Number
    9,293,262
  • Date Filed
    Wednesday, August 15, 2012
    12 years ago
  • Date Issued
    Tuesday, March 22, 2016
    8 years ago
Abstract
The present disclosure describes tuning capacitors with tapered and reconfigurable quality factors. Digitally tuned capacitors (DTCs) that provide a variable quality factor (Q) while maintaining a constant or near constant capacitance as well as DTCs that provide one or more Q values in a tapered distribution while maintaining a constant or near constant capacitance are described. The present disclosure also describes DTCs that provide one or more capacitances in a tapered distribution and one or more Q values in a tapered distribution.
Description
FIELD

The present disclosure relates to tuning of capacitors. More particularly, the disclosure relates to digitally tuned capacitors with tapered and reconfigurable quality factors.


SUMMARY

According to a first aspect of the present disclosure, a digitally tuned capacitor (DTC) adapted for use in a circuit device is provided, the DTC comprising: a first terminal; a second terminal; and a plurality of bit stages in parallel between the first terminal and the second terminal, each bit stage comprising at least one switch connected with at least one capacitor, wherein: the plurality of bit stages is configured to be controlled by a numeric control word in binary representation, each bit of the numeric control word representing a switching state of one bit stage in the plurality of bit stages, wherein the switching state is either an ON state or an OFF state, and states of the DTC with same number of ON states is configured to provide a variable quality factor while maintaining a constant or near constant capacitance around a fixed level.


According to a second aspect of the present disclosure, a method of digitally tuning a tunable capacitor in a circuit device is provided, the method comprising: providing a first terminal; providing a second terminal; providing a plurality of bit stages connected in parallel between the first terminal and the second terminal, each bit stage comprising at least one switch connected with at least one capacitor; applying a numeric control word in binary representation to the plurality of bit stages, each bit of the numeric control word representing a switching state of one bit stage in the plurality of bit stages, wherein the switching state is either an ON state or an OFF state; selectively controlling capacitance between the first terminal and the second terminal based on switching states of each bit stage in the plurality of bit stages; and configuring states of the tunable capacitor with same number of ON states to provide a variable quality factor while maintaining a constant or near constant capacitance around a fixed level.


The details of one or more embodiments of the disclosure are set forth in the accompanying drawings and the description below. Other features, objects, and advantages will be apparent from the description and drawings, and from the claims.





BRIEF DESCRIPTION OF DRAWINGS

The accompanying drawings, which are incorporated into and constitute a part of this specification, illustrate one or more embodiments of the present disclosure and, together with the description of example embodiments, serve to explain the principles and implementations of the disclosure.



FIG. 1 shows a simplified schematic representation of an implementation of a digitally tuned capacitor (DTC).



FIG. 2 shows an equivalent circuit of the DTC shown in FIG. 1.



FIG. 3A shows a circuital arrangement of a stack of transistors connected with a capacitor. FIG. 3B shows an equivalent circuit of the circuital arrangement of FIG. 3A when the transistors in the stack of transistors are in an ON state.



FIG. 4 shows an implementation of a DTC using the circuital arrangement of FIG. 3A.



FIG. 5 shows a system that comprises a DTC coupled with a controller.



FIG. 6 depicts an implementation of a DTC with constant quality factor Q. Exemplary values for coefficients An and Bn for the DTC are provided, where the coefficients scale values of capacitances and resistances, respectively.



FIGS. 7A and 7B show exemplary monotonic quality factors as a function of state of a DTC. Specifically, FIG. 7A shows a max-to-min tapered-Q whereas FIG. 7B shows a min-to-max tapered-Q.



FIG. 8 depicts exemplary values for coefficients An and Bn for a DTC.



FIGS. 9A and 9B show a parallel-to-series conversion. FIG. 9A shows a parallel circuit. FIG. 9B shows a series circuit equivalent of the parallel circuit of FIG. 9A.



FIGS. 10 and 11 show DTCs that provide a variable Q while maintaining the same capacitance, in accordance with an embodiment of the present disclosure.



FIGS. 12A-12E show plots of capacitances and Q values as a function of state of a DTC. Specifically, FIGS. 12A-12D show the capacitances and Q values for a DTC with five transistor stacks, where one, two, three, and four transistor stacks are in an ON state, respectively. FIG. 12E shows the capacitances and Q values for a DTC with five transistor stacks for a zero-bit case (all transistors are in an OFF state) and a penta-bit case (all transistor stacks are in an ON state).



FIG. 13 shows capacitances and Q values for a thermometer coded DTC with tapered Q values.



FIG. 14 shows a plot of number of different configurations of Q value at each possible capacitance value for a five-bit DTC, in accordance with an embodiment of the present disclosure.



FIG. 15 shows a plot of number of different configurations of Q value at each possible capacitance value for an eight-bit DTC, in accordance to with embodiment of the present disclosure.



FIG. 16 shows a plot of number of different configurations of Q value at each possible capacitance value for DTCs with two-bit, three-bit, four-bit, five-bit, six-bit, seven-bit, and eight-bit configurations, in accordance with several embodiments of the present disclosure.



FIG. 17 shows an embodiment of a DTC that comprises capacitors connected with switching devices. Any one, plurality, or all of the capacitors and connected switching device pairs, which form a bit stage, shown in FIG. 17 can be implemented using a voltage or current dependent variable capacitor.



FIGS. 18 and 19 show additional embodiments of DTCs that comprise fixed and variable capacitors.



FIG. 20 is a simplified schematic of an SOI NMOSFET adapted to control accumulated charge, embodied as a four terminal device, where an accumulated charge sink (ACS) terminal is coupled to a gate terminal via a diode.





DETAILED DESCRIPTION

As used in the present disclosure, the terms “tunable”, “tuned”, and “tuning” can be used interchangeably with the terms “adjustable”, “variable”, “programmable”, and “configurable”. The term “digitally tuned” used in “digitally tuned capacitor” (DTC) refers to tuning (varying) of capacitor values in discrete increments. For example, a digitally tuned capacitor can be implemented such that its possible capacitance values are C through nC in steps of C (i.e., the digitally tuned capacitor can have capacitance values of C, 2C, 3C, . . . , (n−1)C, and nC). As another example, a digitally tuned capacitor can be implemented with no set pattern in its possible capacitance values (e.g., 0.5C, C, 6C, 100C, and 125C). Possible capacitance values of the digitally tuned capacitor can be adjusted as necessary for a desired application.


As used in the present disclosure, a “state” associated with a DTC provides a manner for identifying which combination of switching devices in the DTC are ON or OFF.


According to several embodiments of the present disclosure, a tunable capacitor can be implemented through connections between capacitors and switching devices. Depending on state (i.e., ON or OFF) of each switching device in the tunable capacitor, capacitance and/or quality factor (Q) of the tunable capacitor can be tuned. The on or off nature of such control of the capacitance can lead to better control of performance, such as, for instance, in terms of Q value and signal linearity. As will be shown later in the present disclosure, some states of the tunable capacitor can be associated with a common capacitance value but be configured for different Q, or vice versa, where each state involves a particular combination of ON or OFF switching devices in the tunable capacitor. In some embodiments, the tunable capacitor can be implemented using devices that are inherently variable capacitors, such as voltage-controlled varactors, metal-oxide-semiconductor (MOS) capacitors, and barium strontium titanate (BST) films. An inherently variable capacitor can be (but need not be) connected to one or more switching devices.


Control of the states of the switching devices can be performed via signals applied to the switching devices by a controller. The controller is generally a digital device, such as a microprocessor or a digital signal processor. For purposes of discussion, the switching devices will be assumed to be field effect transistors (FETs). However, the present disclosure can also utilize other switching devices such as accumulated charge control field effect transistors, microelectromechanical system (MEMS) switches, diodes, diode connected bipolar junction transistors (BJTs), and other switching devices identifiable by a person skilled in the art.


According to several embodiments of the present disclosure, a tunable capacitor can comprise capacitors, where each capacitor is connected with a stack of switches. By way of example and not of limitation, consider a stack of transistors. Reliability considerations of transistors affect maximum amount of voltage, also referred to as a breakdown voltage or withstand voltage, that can be placed from drain to source of any particular transistor. Specifically, above the withstand voltage, the transistors used in implementing a system can break down, leaving the system unable to accomplish an intended purpose. A transistor stack, where two or more transistors are serially connected, can be utilized to allow the serially connected transistors to share a voltage applied to the transistor stack. For example, if each transistor has a withstand voltage of around 3 V, then a stack of five transistors would ideally be expected to have a withstand voltage of around 15 V. Consequently, a higher number of stacked transistors can be used in systems that involve higher voltages in order to withstand these higher voltages. Losses in the transistors due to various parasitics, such as parasitic capacitances that conduct current in various (e.g., including undesirable) directions, would generally lead to a withstand voltage lower than the expected 15 V. In a field effect transistor, for instance, the withstand voltage of an individual FET can be increased by increasing gate length, although this leads to occupation of more area on a chip for the individual FET and also to a generally slower switching FET.


In general, device reliability is a concern when switches are OFF. When the switches are OFF, the switches need to withstand voltage applied to the switches. Consequently, with a stack of switches, peak voltage of an applied signal, such as a radio frequency (RF) signal, can be higher than in the case with only one switch since voltage of the applied signal can be shared across each switch in the stack.


It should be noted that although lumped elements (e.g., discrete resistors, capacitors, and inductors) are depicted throughout the present disclosure, the embodiments of the present disclosure to be described below can also utilize distributed elements. Specifically, resistances, capacitances, and inductances can be distributed throughout a circuital arrangement and thus can be generally measured per unit length (e.g., Ω/length, F/length, and H/length, respectively). For example, transmission line elements such as half-wavelength, quarter-wavelength, series and parallel stubs (open circuit or short circuit stubs), and resonant stubs can also be utilized to provide resistances and reactances to the circuital arrangement. It should be noted that the various elements (either lumped or distributed) can be on-chip or off-chip.



FIG. 1 shows a simplified schematic representation of an implementation of a digitally tuned capacitor (DTC). FIG. 2 is an equivalent circuit showing ON resistances RON and OFF capacitances COFF associated with switching transistors of the DTC shown in FIG. 1. Additional examples of DTCs are shown in U.S. patent application Ser. No. 12/735,954, incorporated herein by reference in its entirety. Both RON and COFF are functions of size (e.g., width) of the switching transistors.


More specifically, FIGS. 1 and 2 show a representation of a five-bit DTC (100) along with an exemplary equivalent circuit model (200) of the representation, respectively. The five-bit DTC (100) can be designed to exhibit a constant Q value for each bit stage using binary coding. This constant Q value is achieved by first designing an initial bit stage b0 (102, 202) that provides a set quality factor. For reasons that will be apparent later in the disclosure, the initial bit stage b0 (102, 202) can also be referred to as a unit cell.


Then, for the case of binary coding, in order to achieve the same Q in a next bit stage b1 (104, 204), value of CMIM (208) and device periphery of the unit cell (102, 202) are both doubled. Doubling device periphery (specifically, doubling periphery of a transistor) effectively halves RON (210). Hence, constant RONCMIM can be maintained between bit stages b0 (102, 202) and b1 (104, 204). Components in bit stage b1 (104, 204) can be similarly scaled (value of CMIM and device periphery are doubled) to achieve bit stage b2 (106, 206). Similar CMIM and device periphery doubling occurs for bit stages b3 and b4.


As previously mentioned, the initial bit stage b0 (102, 202) can also be referred to as a unit cell. For example, bit stage b1 (104, 204) can be implemented using two initial bit stages b0 (102, 202) in parallel and similarly bit stage b2 (106, 206) can be implemented using four initial bit stages b0 (102, 202) in parallel.


Type of capacitor utilized in implementing the DTC (100, 200) is generally chosen such that the capacitor or capacitors used to implement CMIM (208 in FIG. 2) in bit stage b0 (202 in FIG. 2), 2CMIM in bit stage b1 (204 in FIG. 2), and so forth can withstand possible voltages to be applied at terminals RFand RF+ across the DTC (100, 200). Although a metal-insulator-metal (MIM) capacitor, denoted as CMIM, is utilized in this disclosure for discussion purposes, other types of capacitors identifiable by a person skilled in the art can be utilized in place of or in combination with the MIM capacitor.


It should be noted that implementation of the DTC is dependent on application. For example, in applications where a maximized Q is desirable, the maximized Q can be associated with a minimization of ON resistance RON of a transistor, which can be obtained through maximization of transistor periphery as described above. Examples of constraints on minimizing RON include chip area and minimum required capacitance of the DTC.


With respect to chip area, a smaller RON can be associated with a larger transistor, and thus minimization of RON through maximization of transistor periphery is dependent on amount of chip area available. With respect to minimum required capacitance of the DTC, larger devices are generally associated with larger parasitic capacitances. Consequently, larger devices are also generally associated with a larger minimum capacitance state of the DTC, denoted as Cmin. For example, if a Cmin state of 0.5 pF is required of the DTC based on system specifications, using devices (such as transistors) that are too large may cause the Cmin state to be higher than 0.5 pF (e.g., 1 pF). Consequently, in the example of maximizing Q, a tradeoff exists between maximum Q possible with consideration to chip area and minimum capacitance possible.


The DTC shown in FIGS. 1 and 2 can function as a variable reactance in an impedance matching network. Since quality factor Q of capacitors is given by Q=1/(ωRC), where ω is (angular) frequency of a signal applied to the capacitor, a constant RONCMIM for each bit stage can be specified to achieve a constant quality factor Q for each bit stage.


In practice, voltage seen across the digitally tuned capacitor is proportional to Q. Consequently, in an impedance matching network, a DTC with a higher Q generally has higher voltages across the DTC than a DTC with a lower Q. In order to accommodate the higher voltages due to higher Q values, the DTC can comprise higher transistor stacking, which leads to occupation of more chip area.


As used in this disclosure, a “state” associated with a DTC provides a manner for identifying which combination of transistors are ON or OFF. The bit stages b0 (102, 202) through b4 form a numeric control word in binary representation that determines the state of the DTC. Each control word is associated with a plurality of control signals that turns transistors in the DTC on or off.


For instance, Table 1 below shows possible states b4b3b2b1b0 of the five-bit DTC shown in FIGS. 1 and 2. A b0 of ‘0’ can refer to a situation where a zeroth transistor (102 in FIG. 1) is turned OFF while in this case a b0 of ‘1’ would refer to a situation where the zeroth transistor (102 in FIG. 1) is turned ON, or vice versa (i.e., a ‘0’ can be associated with a transistor being turned ON while a ‘1’ can be associated with a transistor being turned OFF). As an example, a state is given by b4b3b2b1b0, so a state or numeric control word of 01001 signifies that a fourth, second (106 in FIG. 1), and first transistor (104 in FIG. 1) are in a first state while a third and zeroth transistor (102 in FIG. 1) are in a second state. It should be noted that a bit b0 can be referred to as a least significant bit (LSB) and a bit b4 can be referred to as a most significant bit (MSB), or vice versa. Such a designation is for convenience in discussion and is not necessarily associated with capacitance value, transistor size, and/or quality factor associated with a particular bit stage.









TABLE 1







Possible states of a five-bit configuration of a DTC














State
b4
b3
b2
b1
b0


















0
0
0
0
0
0



1
0
0
0
0
1



2
0
0
0
1
0



3
0
0
0
1
1



4
0
0
1
0
0



5
0
0
1
0
1



6
0
0
1
1
0



7
0
0
1
1
1



8
0
1
0
0
0



9
0
1
0
0
1



10
0
1
0
1
0



11
0
1
0
1
1



12
0
1
1
0
0



13
0
1
1
0
1



14
0
1
1
1
0



15
0
1
1
1
1



16
1
0
0
0
0



17
1
0
0
0
1



18
1
0
0
1
0



19
1
0
0
1
1



20
1
0
1
0
0



21
1
0
1
0
1



22
1
0
1
1
0



23
1
0
1
1
1



24
1
1
0
0
0



25
1
1
0
0
1



26
1
1
0
1
0



27
1
1
0
1
1



28
1
1
1
0
0



29
1
1
1
0
1



30
1
1
1
1
0



31
1
1
1
1
1










As used in the present disclosure, a “lower” state can refer to a state whose corresponding decimal value is lower than that of a “higher” state. For example, in the five-bit DTC, a state 00000 (corresponding to decimal value 0) can be referred to as the lowest state while a state 11111 (corresponding to decimal value 31) can be referred to as the highest state.


As mentioned above, a unit cell of a DTC can comprise one transistor connected with a capacitor, where state of the transistor determines whether the capacitor contributes to capacitance of the DTC (i.e., switching of the capacitance in or out of the DTC). The unit cell can also comprise a stack of transistors connected with the capacitor, where state of each transistor in the stack of transistors determines whether the capacitor contributes to capacitance of the DTC.



FIG. 3A shows a circuital arrangement of a stack of n transistors (306, 308, 310, 312, 314, 316) connected with a capacitor (320). Components in the schematic of FIG. 3A can be utilized as a unit cell (102 in FIG. 1, 202 in FIG. 2) of a DTC. The unit cell can comprise a stack of transistors (306, 308, 310, 312, 314, 316) coupled with a first terminal RF (350) on one end and coupled with a capacitor CMIM (320) on another end. A resistance RMIM (322) represents an equivalent series resistance (ESR) of the capacitor CMIM (320). The capacitor CMIM (320) is coupled with a second terminal RF+ (352). Designation of positive sign and negative sign to the terminals (350, 352) is arbitrary and does not necessarily indicate relative polarity of the terminals (350, 352). Furthermore, either of the terminals (350, 352) may be coupled to ground.


For discussion purposes, consider the case where the stack of transistors (306, 308, 310, 312, 314, 316) is a stack of field effect transistors (FETs). The unit cell can also comprise gate resistors RG coupled to a gate of each of the FETs (306, 308, 310, 312, 314, 316). A control bit b0 (326) applied to the FETs (306, 308, 310, 312, 314, 316) through the gate resistors can control ON or OFF state of the FETs (306, 308, 310, 312, 314, 316) in the stack. Voltage at a node (328) is based on value of the control bit b0 (326). The unit cell can further comprise drain-to-source resistors RDS. The gate and drain-to-source resistors can aid in biasing their associated and corresponding FETs.



FIG. 3B shows an equivalent circuit of the circuital arrangement of FIG. 3A when the transistors are in an ON state. As with FIG. 3A, the equivalent circuit shown in FIG. 3B shows a stack of transistors (in an ON state) coupled to a first terminal RF (350) on one end and a capacitor CMIM (320) on another end. The capacitor CMIM (320) is depicted as a capacitor and its equivalent series resistance RMIM (322) and is coupled with a second terminal RF+ (352). In FIG. 3B, each of the transistors (306, 308, 310, 312, 314, 316 in FIG. 3A) in the stack can be represented by a resistor. Equivalent resistance of the stack can be collectively denoted as RON and referred to as ON resistance. In contrast, when the transistors in the stack are turned OFF (not shown in FIG. 3B), equivalent capacitance of the stack can be collectively denoted as COFF and referred to as OFF capacitance.



FIG. 4 shows an implementation of a DTC using the circuital arrangement of FIG. 3A, where the DTC is coupled to a first terminal RF (450) and a second terminal RF+ (452). As mentioned in FIG. 3A, the circuital arrangement of FIG. 3A can be utilized as a unit cell for building of the DTC. A first bit stage (402) can comprise the unit cell shown in FIG. 3A while subsequent bit stages can comprise a plurality of unit cells tied to a common control bit (e.g., b1 . . . bb-1). In the implementation shown in FIG. 4, along with scaling number of unit cells and capacitance (420) in each unit cell, gate resistances and drain-to-source resistances can also be scaled.



FIG. 5 shows a system that comprises a DTC (500) coupled with a controller (502). A digital control word CAPword (528) can be applied to the controller (502) in order to generate control bits configured to control ON or OFF state of transistors in the DTC (500). The DTC (500) can be tied to a first terminal RF (550) and a second terminal RF+ (552). Designation of positive sign and negative sign to the terminals (550, 552) is arbitrary and does not necessarily indicate relative polarity of the terminals (550, 552). Either of the terminals (550, 552) may be coupled to ground. As previously mentioned, the controller (502) is generally a digital device, such as a microprocessor or a digital signal processor.


Each of the DTCs shown in FIGS. 1, 2, 3A, 3B, and 4 can be utilized as the DTC (500) shown in FIG. 5. The DTCs shown in U.S. patent application Ser. No. 12/735,954, incorporated herein by reference in its entirety, can also be utilized in the system of FIG. 5. Furthermore, as used herein, the term “stack” includes both the case where a stack comprises only one device (e.g., a stack of one switch or transistor) as well as the case where the stack comprises a plurality of devices (e.g., a stack of multiple serially connected switches or transistors).



FIG. 6 illustrates a DTC (600) whose RONCMIM product stays constant, or more specifically (RON/Bn)(AnCMIM) stays constant, for each bit stage. In FIG. 6, a constant RONCMIM is achieved by setting coefficients An and Bn to 2n for all n. The DTC (600) has a constant Q for each bit stage, and the state of each bit stage determines the capacitance of the DTC (600). Such a combination of coefficients is known as binary coding and has been previously shown in FIGS. 1 and 2. A constant Q design can aid in minimizing signal loss while maximizing Q.


It should be noted that (RON/Bn)(AnCMIM) being constant can be achieved through other means aside from binary coding. For example, a case where An=Bn=constant for all possible n also keeps (RON/Bn)(AnCMIM) constant. However, the binary case generally involves less on-chip routing, which decreases parasitic capacitances and leads to less signal loss. Furthermore, the binary case can involve fewer drivers to drive RF states, so chip area may be conserved.


Maintaining constant RONCMIM, however, might not allow a designer to choose an optimal Q, for a fixed capacitance, for a particular application. In addition, use of a DTC (100, 200) that maintains constant RONCMIM throughout the bit stages (e.g., b0 (102, 202), b1 (104, 204), etc. shown in FIGS. 1 and 2) can also consume more chip area. For a bounded design space or area, Q may not be maximized in the case of constant RONCMIM.


Specifically, in a constant Q design where RONCMIM is constant, each bit stage scales linearly as previously discussed. For instance, as previously mentioned in the case of binary coding, to keep Q constant by doubling CMIM for successive bit stages, ON resistance in one bit stage is half the value of ON resistance of a next bit stage. In order to reduce ON resistance by half, device (transistor) area or periphery can be doubled. Consequently, the b1 bit stage (104, 204) shown in FIGS. 1 and 2 comprises an area around twice that of the area for the b0 bit stage (102, 202), the b2 bit stage (106, 206) comprises an area around four times that of the area of the b0 stage (102, 202), and so forth.


The constant Q design can lead to consumption of more area by the DTC than a tapered-Q approach, as will be discussed later in the present disclosure. For example, a constant RONCMIM may use a constant bit-to-bit stack height at each bit stage such that each bit stage can withstand voltage applied at terminals RF and RF+, which can involve more chip area than the tapered-Q approach. Furthermore, higher Q is generally associated with higher voltages, which may require an increased stack height (and thus use of more chip area) to withstand these higher voltages.


Embodiments of the present disclosure are directed to a DTC that utilizes a variable RONCMIM to achieve a “tapered” quality factor for each bit stage. By way of example and not of limitation, binary and thermometer codes can be utilized to implement the DTC with tapered quality factor.


According to an embodiment of the present disclosure, a DTC with a variable RONCMIM between states can provide a reconfigurable Q while maintaining constant (or near constant) DTC capacitance C. As used herein, a “constant capacitance” between states also includes the case where capacitance between states is near constant (or close to equal), but not exactly equal. A person skilled in the art recognizes that due to issues such as, but not limited to, tolerances of components (e.g., capacitors), operating conditions (e.g., temperature and pressure), and parasitics associated with any component, actual value (e.g., actual capacitance value) can fluctuate about a nominal value. As previously noted, voltage seen across the DTC is proportional to the quality factor. Consequently, the DTC can be configured for a high Q, hence low loss, when peak voltages are not a concern. On the other hand, the DTC can be configured for a low Q when peak voltages are a concern. Such a DTC has numerous applications.


For example, DTCs at a constant DTC capacitance value C and with variable Q values can be used to adjust system bandwidths. A tuning bandwidth, which refers to a frequency range that a system passes through relatively unattenuated, can be adjusted based on quality factor of the DTC.


Consider a system with two receivers that utilizes a bandpass matching network, where capacitance in the bandpass matching network is provided by a DTC. Further, consider that both of the receivers have a center frequency of 1850 MHz, but one operates within a frequency range of 1800 MHz to 1900 MHz and the other operates within a frequency range of 1750 MHz to 1950 MHz. Then, the same bandpass matching network (i.e., the same LC element values), which comprises the DTC, can be used to tune both receivers.


For a DTC at a set capacitance value, difference between the bandpass network in each receiver will be in the Q value, which is reconfigurable. The bandpass network in the 1800-1900 MHz receiver will have a DTC with a capacitance value C but can be configured with a higher Q value while the bandpass network in the 1750-1950 MHz receiver will have the same capacitance value C but can be configured with a lower Q value. Specifically, when used to transform impedances, a high Q DTC (i.e., a DTC operating in a state of higher Q) can be used to tighten the tuning bandwidth while a low Q DTC (i.e., a DTC operating in a state of lower Q) can be used to widen the tuning bandwidth. Tradeoff between high versus low Q is that a DTC operating in a state with higher Q provides a narrower (tighter) bandwidth and generally adds less loss whereas a DTC operating in a state with lower Q provides more bandwidth and generally adds more loss.



FIGS. 7A and 7B show quality factor of a DTC as a function of state of the DTC. Graphs in FIGS. 7A and 7B show monotonic quality factors. However, the person skilled in the art will understand that other Q-varying mechanisms can be used that will result in a configurable quality factor. Additionally, different coding schemes (e.g., binary, thermometer, etc.) can be applied. For the sake of simplicity and by way of example only, a thermometer coding scheme will be referenced in several parts of the present disclosure.


As shown in FIGS. 7A and 7B, the tapered-Q approach has a maximum Q at one end of the capacitance tuning range, where this maximum Q can be higher than quality factor achieved in a constant Q design (e.g., RONCMIM remains a constant between states), and a minimum Q at the other end of the capacitance tuning range, where this minimum Q can be lower than that achieved in a constant Q design. According to several embodiments of the present disclosure, depending on application to which the DTC is to be applied, area utilized by each bit stage can be designed such that a particular set of Q values can be associated with different states of the DTC. As a result, a tapered-Q DTC may be designed to occupy less chip area than a constant-Q DTC since a tapered-Q DTC may use fewer transistors in one or more stacks than a constant-Q DTC.


Max-to-min tapered-Q, shown in FIG. 7A, can be utilized in high frequency applications where low capacitance values are generally utilized. MM-to-max tapered-Q, shown in FIG. 7B, can be utilized in low frequency applications where high capacitance values are generally utilized. One exemplary application is that of utilizing DTCs in an impedance matching network. It is well known that impedance of a capacitor is given by Z=1/(jωC), Consequently, for components to be matched by an impedance matching network of impedance Z, a higher operating frequency ωHI would utilize a lower DTC capacitance value CLO while a lower operating frequency ωLO would utilize a higher DTC capacitance value CHI, where ωHICLOLOCHI since the impedance Z of the impedance matching network is the same in both cases.


As shown in FIGS. 7A and 7B, lower states can be designed to be associated with lower capacitance while higher states can be designed to be associated with higher capacitance. In a five-bit case, 00000 would generally be considered the lowest state while 11111 would generally be considered the highest state. In another embodiment, the lower states can be designed to be associated with higher capacitance while higher states can be designed to be associated with lower capacitance.


According to several embodiments of the present disclosure, a tapered quality factor allows configuration of the quality factor in designs bounded by the area of the devices. For example, the quality factor of a particular state can be maximized with consideration to area requirements. The tapered quality factor enables a tailoring of the quality factor response such that the quality factor can be maximized where it is needed most and minimized where it is needed least in the tuning application. Implementation of the tapered quality factor lends itself to less transistor stacking for bit stages where the quality factor is maximized. Specifically, the transistor stacks associated with an OFF state need to be of sufficient stacking in order to withstand the higher voltages generally associated with a higher quality factor. Less transistor stacking results in higher COFF since an effective COFF of an η transistor stack is given by COFF=(1/COFF1+1/COFF2+ . . . +1/COFFη)−1.


Consequently, by using a DTC with tapered quality factor (when compared with a DTC with constant quality factor), less stack height can be utilized to achieve the same voltage handling as in the case of a DTC with constant quality factor, as shown in the following expression










η
eff

=

η
*

(

1
+


C
OFF


C
MIM



)






(
1
)








where η is the transistor stack height and ηeff is the effective stack height.


For a given stack height η, effective stack height ηeff increases as COFF increases. In some bit stages, stack height can be reduced, which would lead to a reduction in the effective stack height ηeff if CMIM were to remain constant or increase. However, in the tapered-Q DTC, CMIM can be reduced as well. Consequently, even though stack height is reduced, the effective stack height and thus the voltage withstand of the switch can remain the same. As a result, tapered-Q DTC can reduce area consumption of the devices (such as relative to the constant-Q DTC) without necessarily reducing the voltage withstand.


When appropriately designed, less transistor stacking for the bit stage with the highest quality factor opens up more area for successive (or preceding) bit stages. For instance, if a bit stage associated with highest Q is the least significant bit (LSB), more area is opened for successive bit stages (i.e., bit stages after the LSB). Similarly, if a bit stage associated with highest Q is the most significant bit (MSB), more area is opened up for preceding bit stages (i.e., bit stages before the MSB).


As the quality factor decreases about its maximum, ON resistance RON can increase across the remaining bit stages, and therefore transistor peripheries can be reduced (since transistor periphery is inversely proportional to RON as previously mentioned). If the transistor peripheries were to become too small such that COFF in equation (1) above for the FET stack becomes too small to provide an adequate effective stack height ηeff to reliably sustain voltages seen by the DTC, then an additional device (such as an additional transistor) can be added to the stack to boost voltage handling capability. The area savings by maximizing quality factor for the first (last) bit generally outweigh any increases in stack height for successive (or preceding) stages, and thus there is a net area reduction due to utilization of a tapered quality factor for the DTC.


According to several embodiments of the present disclosure, a DTC can provide a fixed capacitance and a reconfigurable quality factor. Such an embodiment adds value at the application level in that a system that comprises such a DTC can be set to a particular state depending on whether higher Q should be used to achieve less loss or lower Q should be used to achieve lower voltage peaks (but associated with more loss). The DTC can also be configured for lower (higher) Q to achieve more (less) system bandwidth. In practice, end-use application would tune the DTC to find an optimal solution that maximizes voltage peaks (lowers loss) without exceeding reliability limits and achieves system bandwidth requirements.


According to several embodiments of the present disclosure, design of tapered-Q DTCs comprises obtaining CMIM and RON and their corresponding scaling coefficients An and Bn, as depicted for instance in FIG. 8. An exemplary method to acquire each of these values is provided as follows.


In a first step, independent variables are selected by a designer based on one or more applications under consideration. Table 2 below provides these independent variables.









TABLE 2







Independent variables








Independent Variables





fo
operating frequency


b
number of bits (number of bit stages)


n
incremental bit order (i.e., n = 0, 1, 2, . . . , b)


N
number of states


ηeff
effective stack height


C0
capacitance at state 0


CN
capacitance at state N


Q0
quality factor at state 0



(Qmin occurs at state 0 for min-to-max taper;



Qmax occurs at state 0 for max-to-min taper)


QN
quality factor at state N



(Qmin occurs at state N for max-to-min taper;



Qmax occurs at state N for min-to-max taper)


QMIM
MIM capacitor quality factor


QCoff
device off-capacitance quality factor


ron
unit device channel resistance


coff
unit device off-capacitance









Values for capacitances Cn where 0≦n≦b, with |CN−C0| being the capacitance tuning range of the DTC and n being a particular bit stage, are generally user or application specified. Values for unit device channel resistance ron and unit device channel off-capacitance coff are technology parameters fixed for a given process. Effective stack height ηeff of a bit stage of the DTC is determined (set) based on knowledge of maximum operating voltages to be applied to and/or withstood by each transistor device. For instance, for a DTC that must be capable of handling (withstanding) 30 V with each transistor device capable of operating up to a maximum of 3 V, the effective stack height ηeff can be selected to be at least 10.


It should be noted that ron and coff are ON resistance and OFF capacitance associated with a given technology. For instance, if ron=1 Ω-mm, then a 1 mm device has 1Ω of ON resistance. Similarly, if coff=1 pF-mm, then a 1 mm device has 1 pF of OFF capacitance. Control of values for ron and coff occurs through scaling size of the device. These parameters differ from RON and COFF described previously, which represent ON resistance and OFF capacitance of a particular bit stage (where the bit stage generally comprises stacked transistors).


Consider a DTC with b bit stages. For each bit stage n, a target capacitance Cn and target reactance Xn are given respectively by:













C
n

=

n
*

(



C
N

-

C
0


b

)







for





0

<
n
<
b







(
2
)










X
n

=

1

j





ω






C
n








for





0

<
n
<
b







(
3
)








Angular frequency ω is given by ω=2πfo, where fo is the operation frequency of the DTC. It should be noted that Cn is evaluated for 0<n<b, e.g., not inclusive of state 0 and N, because C0 and CN are values set by the user or application.


Similarly, for a bit stage n, a target quality factor is given by the following recursive equation:













Q
n

=


Q

n
-
1


-

(



Q
N

-

Q
0


b

)







for





0

<
n
<

b
.








(
4
)







The following provides additional equations to be solved in obtaining CMIM, ron, An, and Bn:













R
Pn

=




Q
n

*

X
n









for





0

<
n

b







(
5
)












C
n


=


C
n

-

C

n
-
1








for





0

<
n

b







(
6
)












R
Pn


=


(


1

R
Pn


-

1

R

Pn
-
1




)


-
1







for





0

<
n

b







(
7
)












Q
n


=


ω
·



R
Pn







C
n








for





0

<
n

b







(
8
)












R
Sn


=




R
Pn



(

1
+



Q
n
2



)







for





0

<
n

b







(
9
)












R
MIMn


=

ω







Q
MIM

·



C
n









for





0

<
n

b







(
10
)












R
ONn


=




R
Sn


-



R
MIMn








for





0

<
n

b







(
11
)












C
OFFn


=



r
on



c
off





R
ONn








for





0

<
n

b







(
12
)












R
OFFn


=


ω
·



C
OFFn





Q

C
OFF








for





0

<
n

b







(
13
)








where equations (5)-(13) can be solved to obtain (5) equivalent parallel resistance RPn, (6) incremental capacitance dCn, (7) incremental parallel resistance dRPn, (8) incremental quality factor dQn, (9) equivalent incremental series resistance dRSn, (10) MIM resistance dRMIMn, (11) incremental on-resistance dRONn, (12) incremental off-capacitance dCOFFn, and (13) incremental series off-resistance dROFFn, respectively.



FIG. 9A shows a parallel equivalent circuit obtained through solving equations (6) and (7) for the incremental capacitance dCn and parallel resistance dRPn, respectively. FIG. 9B shows a series equivalent circuit of the parallel equivalent circuit of FIG. 9A, which is obtained by solving equation (9) to obtain incremental series resistance dRSn.


After solving equations (5)-(13), each of effective bit stage MIM capacitance dCMIMn, bit stage stack height ηn, and bit stage device periphery Wn can be obtained through the following equations:















C
MIMn


=




C
n


+



C
OFFn








for





0

<
n

b







(
14
)










η
n

=

ceil
(



η
eff

·



C
MIMn







C
MIMn


+



C
OFFn




)






for





0

<
n

b







(
15
)










W
n

=


η
n

·


r
on




R
ON









for





0

<
n

b







(
16
)








where ceil(x) is the ceiling function that outputs a smallest integer not less than x. The bit stage stack height ηn and bit stage device periphery Wn provide, for an nth bit stage, number of transistors and total periphery of the ηn transistors in the stack, respectively.


Additionally, MIM and off-capacitance scaling coefficient An and on-resistance scaling coefficient Bn are given by:













A
n

=


C
0


C
n







for





0


n

b







(
17
)










B
n

=




C

OFF





0






C
OGGn








for





0


n

b







(
18
)







An error function for evaluating accuracy of a designed capacitance is given by:











erf


(
C
)


=

1
-


C
min






n
=
1

N





C
n



||



C
OFFn











where










C
n


||



C
OFFn



=








C
n






C
OFFn







C
n


+



C
OFFn









and





-
1



erf


(
C
)




+
1.







(
19
)







Consider a DTC whose desired capacitance tuning range is 1.0 pF to 5.0 pF. In the case of erf(C)=0 (error function is zero), actual capacitance tuning range acquired is 1.0 pF to 5.0 pF. If the error function were non-zero, the actual capacitance tuning range can be shifted and/or wider/narrower than the desired capacitance range of 1.0 pF to 5.0 pF. For example, the actual capacitance tuning range could be 1.1 pF to 5.4 pF.


For any given application, value of unit device channel resistance ron is based on technology used in a given process while values for CMIM and each coefficient An and Bn can be obtained by solving equations (2)-(19). Specifically, for a particular bit stage n, CMIM is given by dCMIMn in equation (14) whereas An and Bn are given by equations (17) and (18) above.


Bounds for capacitance C and quality factor Q that can be implemented on a particular DTC can broaden or shrink depending on process technology. For instance, if OFF state capacitance COFF of a device were to increase, possible range of values for the capacitance and quality factor shrinks, and vice versa for the case where COFF decreases. This is shown in equation (14) above, where effective bit stage MIM capacitance dCMIMn is given by dCMIMn=dCn+dCOFFn. The incremental off-capacitance dCOFFn is a device capacitance. As previously mentioned, whereas a larger device leads to smaller ON state resistance RON and thus increases Q, the larger device also increases dCOFF and thus increases dCMIMn. Consequently, ranges of capacitance and quality factor of the DTC are not independent of each other.


According to several embodiments of the present disclosure, DTCs can comprise multiple states that are associated with a common capacitance value but variable Q. FIGS. 10 and 11 show examples of DTCs that provide different Q values while maintaining the same capacitance in accordance with several embodiments of the present disclosure. Specifically, the DTCs of FIGS. 10 and 11 can be designed such that although capacitance of the DTC remains the same when number of ON switches is the same, the quality factor can vary between these states.


The DTCs (1000, 1100) in FIGS. 10 and 11 both have three ON switches and two OFF switches. For the DTC (1000) in FIG. 10, the switches associated with control bits b0 (1002), b1 (1004), and b2 (1006) are ON, while the switches associated with control bits b3 (1008) and b4 (1010) are OFF. For the DTC (1100) in FIG. 11, the switches associated with control bits b2 (1106), b3 (1108), and b4 (1110) are ON, while the switches associated with control bits b0 (1102) and b1 (1104) are OFF. Because both DTCs (1000, 1100) have only three ON switches, the two DTCs (1000, 1100) have the same total capacitance, 2.6 pF. However, their Q values differ (50 for the DCT (1000) shown in FIG. 10 and 32 for the DTC (1100) shown in FIG. 11) because of the different switch ON-OFF configurations.


If OFF transistors are designated as ‘0’ and ON transistors are designated as ‘1’, a configuration of the five transistors in FIGS. 6, 10, and 11 may be expressed by a numeric control word b4b3b2b1b0 and/or equivalently as a decimal number, y, defined by

y=b4*24+b3*23+b2*22+b1*21+b0*20  (20).

For example, consider a case where zeroth, first, and fourth control bits are set such that transistors associated with these control bits are ON (e.g., b0=b1=b4=1) and second and third control bits are set such that transistors associated with these control bits are OFF (e.g., b2=b3=0). The numeric control word (b4b3b2b1b0) can be written as 1001 while y=19 since 1*24+0*23+0*22+1*21+1*20=19. In accordance with this example, Table 1 shows the other 31 states possible in the five-bit case.


As previously mentioned, FIG. 8 shows a DTC (800) with exemplary values for coefficients An and Bn, which are used to scale RON and CMIM of each bit stage. By using coefficients An and Bn to scale RONCMIM (and thus RONCMIM are allowed to vary across states), a tapered quality factor across the capacitive tuning range can be achieved, such as previously shown in FIGS. 7A and 7B. Characteristics of the DTC shown in FIG. 8 are shown in each of the graphs that follow in FIGS. 12A through 12E. From the graphs to be shown in FIGS. 12A through 12E, it is noted that each bit stage of the DTC (800) of FIG. 8 contributes a capacitance of 0.5 pF.


When only one transistor stack is ON, there are five states (also known as configurations): 00001, 00010, 00100, 01000, and 10000. FIG. 12A shows the capacitances and Q values for DTCs in each of these five states in accordance with an embodiment of the present disclosure. These five states have the same capacitance, around 1 pF, but different Q values. The Q values have a tapered distribution with respect to the states.


When two of the five transistor stacks are in an ON state (e.g., two of the five control bits are 1's), there are ten states. FIG. 12B shows the capacitances and Q values for DTCs in each of these ten states in accordance with an embodiment of the present disclosure. Likewise, these ten states have the same capacitance, around 1.5 pF, and a tapered distribution of Q values.


When three of the five transistor stacks are in an ON state (e.g., three of the five control bits are 1's), there are ten states. FIG. 12C shows the capacitances and Q values for DTCs in each of these ten states in accordance with an embodiment of the present disclosure. Similarly, the ten states have the same capacitance, around 2.0 pF, and a tapered distribution of Q values.


When four of the five transistor stacks are in an ON state (e.g., four of the five control bits are 1's), there are five different states. FIG. 12D shows the capacitances and Q values for DTCs in each of these five states in accordance with an embodiment of the present disclosure. Similarly, the five states have the same capacitance, around 2.5 pF, and a tapered distribution of Q values.



FIG. 12E shows the capacitances and Q values for a zero-bit case (00000) and a penta-bit case (11111), which may signify states of the DTC when all transistors are in an OFF state or ON state, respectively. In the zero-bit case, capacitance of the DTC is due to COFF of each of the stacks of transistors in series with a corresponding MIM capacitor or capacitors. Due to the serial connections between the capacitances (COFF and CMIM), capacitance of the DTC is lower relative to the case when one or more transistors or stacks of transistors are ON. In FIG. 12E, capacitance for the zero-bit case and the penta-bit case are around 0.5 and 3.0 pF, respectively.



FIG. 13 shows the capacitances and Q values for a thermometer coded DTC with tapered Q values for the DTC (800) shown in FIG. 8. Because capacitances of a DTC are determined by the number of transistor stacks in an ON state (or equivalently determined by the number of transistor stacks in an OFF state), transistor configurations represented in thermometer coding may be utilized to provide tapered capacitances and Q values. It should be noted that in thermometer coding, a state of 0, 1, 2, 3, 4, and 5 can be represented as numeric control words 00000, 00001, 00011, 00111, 01111, and 11111, respectively. Specifically, FIG. 13 shows the capacitances and Q values for DTCs with these six states.


Additionally, FIG. 13 shows an exemplary tuning range for the DTC's capacitance of around 0.3 pF to 3.0 pF and an exemplary tuning range for the quality factor of around 35 to 70. However, it is noted that these tuning ranges (for both the DTC's capacitance and the quality factor) are highly dependent on the application in which the DTC is to be utilized.



FIG. 14 shows a plot of number of different configurations of Q value at each possible capacitance value for a five-bit DTC, in accordance to an embodiment of the present disclosure. Table 3 shows exemplary capacitance and Q configurations for a five-bit DTC that are in accordance with the plot shown in FIG. 14. For example, FIG. 14 shows that there are five states for which capacitance of the five-bit DTC is 1.8 pF, where each state can have a different Q value/configuration. Similarly, Table 3 shows that a capacitance of 1.8 pF is associated with arbitrary Q values Q1(C=1.8) through Q5(C=1.8), where such Q values can be set (e.g., DTC can be configured to realize such Q values) depending on application. Consequently, as previously mentioned, multiple states can have the same capacitance but be configured with different Q values. It is noted that each bit stage of the five-bit DTC (not shown) associated with FIG. 14 contributes a capacitance of 0.8 pF. Additionally, it should also be noted that, for all states with a common capacitance value, one or more states among these states can have the same Q value.









TABLE 3







Exemplary capacitance and Q configurations for a five-bit DTC














State
b4
b3
b2
b1
b0
C (pF)
Q

















0
0
0
0
0
0
1.0
Q1(C = 1.0)


1
0
0
0
0
1
1.8
Q1(C = 1.8)


2
0
0
0
1
0
1.8
Q2(C = 1.8)


3
0
0
0
1
1
2.6
Q1(C = 2.6)


4
0
0
1
0
0
1.8
Q3(C = 1.8)


5
0
0
1
0
1
2.6
Q2(C = 2.6)


6
0
0
1
1
0
2.6
Q3(C = 2.6)


7
0
0
1
1
1
3.4
Q1(C = 3.4)


8
0
1
0
0
0
1.8
Q4(C = 1.8)


9
0
1
0
0
1
2.6
Q4(C = 2.6)


10
0
1
0
1
0
2.6
Q5(C = 2.6)


11
0
1
0
1
1
3.4
Q2(C = 3.4)


12
0
1
1
0
0
2.6
Q6(C = 2.6)


13
0
1
1
0
1
3.4
Q3(C = 3.4)


14
0
1
1
1
0
3.4
Q4(C = 3.4)


15
0
1
1
1
1
4.2
Q1(C = 4.2)


16
1
0
0
0
0
1.8
Q5(C = 1.8)


17
1
0
0
0
1
2.6
Q7(C = 2.6)


18
1
0
0
1
0
2.6
Q8(C = 2.6)


19
1
0
0
1
1
3.4
Q5(C = 3.4)


20
1
0
1
0
0
2.6
Q9(C = 2.6)


21
1
0
1
0
1
3.4
Q6(C = 3.4)


22
1
0
1
1
0
3.4
Q7(C = 3.4)


23
1
0
1
1
1
4.2
Q2(C = 4.2)


24
1
1
0
0
0
2.6
Q10(C = 2.6)


25
1
1
0
0
1
3.4
Q8(C = 3.4)


26
1
1
0
1
0
3.4
Q9(C = 3.4)


27
1
1
0
1
1
4.2
Q3(C = 4.2)


28
1
1
1
0
0
3.4
Q10(C = 3.4)


29
1
1
1
0
1
4.2
Q4(C = 4.2)


30
1
1
1
1
0
4.2
Q5(C = 4.2)


31
1
1
1
1
1
5.0
Q1(C = 5.0)










FIG. 15 shows a plot of number of different configurations of Q value at each possible capacitance value for an eight-bit DTC, in accordance with an embodiment of the present disclosure. For example, FIG. 15 shows that there are eight states for which capacitance of the eight-bit DTC is 1.5 pF and twenty-eight states for which capacitance of the eight-bit DTC is 2.0 pF, where each state has a different Q value/configuration. It is noted that each bit stage of the eight-bit DTC (not shown) associated with FIG. 15 contributes a capacitance of 0.5 pF.


It is further noted that the number of states associated with a constant capacitance, as shown in both FIGS. 14 and 15, can be obtained by calculating binomial coefficients. Binomial coefficients are given by











(



n




k



)

=


(



n





n
-
k




)

=




2
!



k
!




(

n
-
k

)

!








for





0


k

n



,




(
21
)








which is generally read as “n choose k”. With reference to FIGS. 14 and 15 as well as equation (21), n can represent number of bit stages in a DTC and k can represent number of bit stages in the DTC that are ON. In the case that k is designated to represent the number of bit stages that are ON (and thus n−k represents the number of bit stages that are OFF), then








(



n




k



)






provides number of states where there can be k bit stages of the DTC in an ON state among the total n bit stages present in the DTC.


Since FIGS. 14 and 15 pertain to an embodiment of the present disclosure where states with the same number of bit stages that are ON have the same capacitance value but can be configured with different Q values,








(



n




k



)






also provides number of Q configurations at a given capacitance value. For example, consider the eight-bit DTC (not shown) associated with FIG. 15. Consider states where k=3 bit stages are ON. This is the case where only k=3 bit stages among the n=8 bit stages of the eight-bit DTC are turned ON (e.g., k=3 transistor stacks in the DTC are turned ON or n−k=5 transistor stacks in the DTC are turned OFF) and is associated with a capacitance of 2.5 pF. Number of states with k=3 is given by










(



n




k



)

=


(



8




3



)

=
56


,






as also shown in FIG. 15. Therefore, in this example, for a capacitance value of 2.5 pF, the eight-bit DTC has 56 Q configurations. Results for FIG. 14 can also be derived similarly based on equation (21) with n=5.



FIG. 16 shows the number of different states with respect to capacitances for DTCs with two-bit, three-bit, four-bit, five-bit, six-bit, seven-bit, and eight-bit configurations, in accordance with several embodiments of the present disclosure. As with number of states shown in FIGS. 14 and 15, the number of states under a constant capacitance shown in FIG. 16 can be obtained by calculating binomial coefficients.


With reference to FIG. 16, the higher the number of bits, the more number of different Q configurations can generally be obtained for a fixed C value. According to several embodiments of the present disclosure, a tunable filter can be thus designed, because the bandwidth of the DTC is dependent on Q. If a sharper/tighter bandwidth is desired, a configuration with a higher Q can be utilized. On the other hand, if a large bandwidth is desired, a configuration with a lower Q can be utilized.


Whereas each bit stage of DTCs described above comprises a capacitance AnCMIM that is fixed in value and a switching device connected to the capacitance, according to many embodiments of the present disclosure, capacitance and switching device in one or more bit stages can also be implemented with an inherently variable capacitance. Specifically, any particular bit stage can comprise an inherently variable capacitance without a connected switching device or can comprise an inherently variable capacitance connected with a switching device. An inherently variable capacitance of a bit stage can be realized through use of, for example, a varactor diode, a metal-oxide-semiconductor (MOS) capacitor, and a varactor dielectric such as barium strontium titanate (BST) film, among other variable capacitors known to a person skilled in the art.


Varactors are generally utilized as voltage-controlled capacitors, where a varactor diode and a varactor dielectric are examples of varactors or are examples of components of varactors. The varactor diode is generally a pn junction diode whose capacitance and series resistance change with voltage applied to the varactor diode. It is noted that the varactor diode is generally operated in reverse-bias so that negligible (ideally no) current flows. In such a case, capacitance of the varactor diode can be modeled similar to junction capacitance Cj of a pn junction diode, which can be given by:











C
j

=


C

j





0




(

1
+


V
R


V
0



)

m



,




(
22
)








where V0 is a junction built-in voltage, VR is a reverse-bias voltage applied to the pn junction diode, Cj0 is junction capacitance value at zero applied voltage (i.e., VR=0), and m is a grading coefficient. Value of the grading coefficient m is a function of manner in which doping density changes between the p side of the pn junction and the n side of the pn junction, as is well known by a person skilled in the art. The varactor diode can thus be designed such that capacitance of the varactor diode can be made to be a stronger function of voltage applied to the pn junction diode by increasing the grading coefficient (e.g., designing a varactor diode to have an m of 3 or 4). Consequently, a varactor diode can be utilized as a voltage-controlled capacitor and can be employed in a bit stage of a DTC.


A MOS capacitor is another example of a varactor. The MOS capacitor can be modeled as a capacitor formed of a metal layer, a semiconductor layer, and an insulator layer that acts as a dielectric material between the metal and semiconductor layers. In a CMOS process, for example, the metal layer can be formed by poly-silicon and referred to as a gate, the semiconductor layer can be formed by silicon and referred to as a body or substrate, and the insulating layer can be formed by silicon dioxide and referred to as an oxide layer. Capacitance of the MOS capacitor can be tuned based on voltage applied to the gate of the MOS capacitor. The MOS capacitor can be implemented, for instance, by a gate capacitance of a MOS transistor.


Another example of a varactor is a capacitor that utilizes a varactor dielectric, where dielectric constant of the varactor dielectric is a function of voltage applied to the varactor dielectric (and thus is a function of voltage applied to the capacitor). By way of example and not of limitation, a BST film can be utilized as a varactor dielectric. The BST film is a ferroelectric material, where a ferroelectric material has a dielectric constant that is a function of an electric field applied (and thus is a function of a voltage applied) to the ferroelectric material. Consequently, as an example, a parallel-plate capacitor with a BST film between the plates can present a capacitance that is a function of a voltage applied to the parallel-plate capacitor due to use of the BST film as the dielectric material. Aside from ferroelectric materials such as a BST film, non-ferroelectric materials such as a bismuth zinc niobate (BZN) film can also be utilized as a varactor dielectric.


As previously mentioned, other examples of varactors or otherwise other examples of variable capacitors are identifiable by a person skilled in the art. Such variable capacitors can be employed in one or more bit stages of a DTC, in accordance with many embodiments of the present disclosure, and can be (but need not be) connected with one or more switching devices. For example, in a case where (RON/Bn)(AnCMIM) remains constant across bit stages of a DTC, capacitance values of the fixed and variable capacitors can be configured accordingly to achieve a constant (RON/Bn)(AnCMIM) across the bit stages. However, the same DTC can also be configured to realize a case where (RON/Bn)(AnCMIM) is not a constant by tuning capacitance of the variable capacitors.



FIG. 17 shows an embodiment of a DTC (1700) that comprises capacitors connected with switching devices. Any one, plurality, or all of the capacitors and connected switching device pairs, which form a bit stage, can be implemented using a voltage or current dependent variable capacitor. As previously mentioned, a varactor diode, a MOS capacitor, and/or a capacitor employing a varactor dielectric such as a BST film, among other variable capacitors known to a person skilled in the art can be used instead of a fixed capacitance or can be used instead of a fixed capacitance connected with a switching device.


Capacitance exhibited by varactors (e.g., varactor diodes, MOS capacitors, BST films) is generally a function of size of the varactors, and as such a broad range of nominal capacitance values is possible depending on size that can be allocated to the varactors. Voltage that is applied to varactors is generally specific to a technology. For example, a varactor diode can be dependent on voltages applied at one or both terminals RF1 and RF2 shown in FIG. 18 whereas BST films can depend on voltage applied at a third terminal (not shown). A person skilled in the art can identify manners by which to apply control voltages/currents and control capacitances exhibited by voltage and/or current dependent capacitors. Furthermore, different metallization patterns or schema for the variable capacitors, identifiable by a person skilled in the art, can influence Q value for a given capacitance value.



FIG. 18 shows a DTC (1800) where capacitances C0, C3, and C4 of a zeroth, third, and fourth bit stage, respectively, are variable and capacitances C1 and C2 of a first and second bit stage, respectively, are fixed. Use of a variable capacitance in a DTC may be for tunability in addition to tunability provided by discrete capacitance values provided by the DTC. For example, with reference to FIG. 18, the fixed capacitances C1 and C2 can be 10 pF and 20 pF, respectively. The variable capacitances C0, C3, and C4 can be utilized for finer tuning around capacitance values of these fixed capacitances. It is noted that the combination of fixed and variable capacitances shown in FIG. 18 is an example. More or fewer of the capacitances C0 through C4 can be fixed capacitances or variable capacitances than the combination shown in FIG. 18. In some cases, all capacitors connected with switching arrangements can be variable capacitances.



FIG. 19 shows a DTC (1900) where a zeroth and second bit stage comprise a fixed capacitor connected with a switching device (bit stages with C0 and C2), a first bit stage comprises a variable capacitor connected with a switching device (bit stage with C1), a third bit stage comprises a fixed capacitor, and a fourth bit stage comprises a variable capacitor. As mentioned previously with reference to several embodiments of the present disclosure, additional bit stages, switching devices, fixed capacitors, and/or variable capacitors can be employed in the DTC (1900) as needed based on application.


As previously mentioned, field effect transistors (FETs) are utilized as switching devices for discussion purposes. However, the present disclosure can also utilize other switching devices such as accumulated charge control field effect transistors, microelectromechanical system (MEMS) switches, diodes, diode connected bipolar junction transistors (BJTs), and other switching devices identifiable by a person skilled in the art.


A switch such as an MEMS switch may be utilized. For MEMS switches, Ron and Coff are generally low over the range of typical operating frequencies. MEMS switches are generally packaged in hermetic packages and involve higher voltages such as 30-50 V to activate. MEMS switches generally have high performance and may be utilized, for instance, in medical or instrumentation equipment.


As another example, in some embodiments, FETs can be implemented in accordance with improved process and integrated circuit design advancements. One such advancement comprises the so-called “HaRP™” technology enhancements developed by the assignee of the present application. The HaRP enhancements provide for new RF architectures and improved linearity in RF front end solutions. FETs made in accordance with the HaRP enhancements are described in pending applications and patents owned by the assignee of the present application. For example, FETs made in accordance with the HaRP enhancements are described in U.S. Pat. No. 7,910,993, issued Mar. 22, 2011, and U.S. Pat. No. 8,129,787, issued on Mar. 6, 2012, both of which are entitled “Method and Apparatus for use in Improving Linearity of MOSFETs Using an Accumulated Charge Sink”; and in pending U.S. patent application Ser. No. 13/277,108, filed on Oct. 19, 2011, and Ser. No. 13/412,529, filed on Mar. 5, 2012. Disclosures in each of U.S. Pat. Nos. 7,910,993 and 8,129,787 as well as pending U.S. patent application Ser. Nos. 13/277,108 and 13/412,529 is incorporated herein by reference in its entirety.


More specifically, and as described in the aforementioned patents and pending patent applications, FETs made in accordance with HaRP technology enhancements comprise Accumulated Charge Control (ACC) SOI MOSFETs, where each ACC SOI MOSFET includes an Accumulated Charge Sink (ACS) coupled thereto which is used to remove accumulated charge from the ACC FET body when the FET operates in an accumulated charge regime. The ACS facilitates removal or otherwise controls the accumulated charge when the ACC SOI MOSFET operates in the accumulated charge regime. Thus, the HaRP technology enhancements provide a method and apparatus for use in improving linearity characteristics of MOSFET devices using the accumulated charge sink (ACS).


Via the ACS terminal, the HaRP FETs are adapted to remove, reduce, or otherwise control accumulated charge in SOI MOSFETs, thereby yielding improvements in FET performance characteristics. In one exemplary implementation, a circuit having at least one SOI MOSFET is configured to operate in an accumulated charge regime. The ACS is operatively coupled to the body of the SOI MOSFET, and eliminates, removes, or otherwise controls accumulated charge when the FET is operated in the accumulated charge regime, thereby reducing the nonlinearity of the parasitic off-state source-to-drain capacitance of the SOI MOSFET. In RF switch circuits implemented with the improved SOI MOSFET devices, harmonic and intermodulation distortion can be reduced by removing or otherwise controlling the accumulated charge when the SOI MOSFET operates in an accumulated charge regime.


In some implementations as described in the aforementioned patents and pending patent applications, the ACC MOSFET comprises as a four terminal device, where an accumulated charge sink (ACS) terminal is coupled to a gate terminal via a diode. One such four terminal ACC MOSFET (2000) is shown in FIG. 20. FIG. 20 is a simplified schematic of an SOI NMOSFET (2000) adapted to control accumulated charge, embodied as a four terminal device, where the ACC MOSFET (2000) includes a gate terminal (2002), source terminal (2004), drain terminal (2006), and accumulated charge sink (ACS) terminal (2008).


As shown in the implementation of FIG. 20, the ACS terminal (2008) is coupled to the gate terminal (2002) via a diode (2010). This implementation may be used to prevent a positive current flow into the body of the MOSFET (2000) caused by a positive Vg-to-Vs (or, equivalently, Vgs, where Vgs=Vg−Vs) bias voltage, as may occur, for example, when the ACC MOSFET (2000) is biased into an on-state condition. When biased off, the ACS terminal voltage VACS comprises the gate voltage plus a voltage drop across the diode (2010). At very low ACS terminal current levels, the voltage drop across the diode (2010) typically also is very low (e.g., <<500 mV, for example, for a typical threshold diode). The voltage drop across the diode (2010) may be reduced to approximately zero by using other diodes, such as a 0 Vf diode, for example. In one implementation, reducing the voltage drop across the diode is achieved by increasing the diode (2010) width. Additionally, maintaining the ACS-to-source or ACS-to-drain voltage (whichever bias voltage of the two bias voltages is lower) increasingly negative can also improve the linearity of the ACC MOSFET device (2000).


More details and examples of Accumulated Charge Control (ACC) SOI MOSFETs as well as circuits employing such ACC SOI MOSFETs are provided in the disclosures of U.S. Pat. Nos. 7,910,993 and 8,129,787 as well as pending U.S. patent application Ser. Nos. 13/277,108 and 13/412,529, each of which is incorporated herein by reference in its entirety. In many implementations, each ACC SOI MOSFET includes an Accumulated Charge Sink (ACS) coupled thereto which is used to remove accumulated charge from the ACC FET body when the FET operates in an accumulated charge regime. The ACS facilitates removal or otherwise controls the accumulated charge when the ACC SOI MOSFET operates in the accumulated charge regime. Thus, a method and apparatus for use in improving linearity characteristics of MOSFET devices using the accumulated charge sink (ACS) is provided. Via the ACS terminal, the ACC SOI MOSFETs are adapted to remove, reduce, or otherwise control accumulated charge in SOI MOSFETs, thereby yielding improvements in FET performance characteristics. In one exemplary implementation, a circuit having at least one SOI MOSFET is configured to operate in an accumulated charge regime. The ACS is operatively coupled to the body of the SOI MOSFET, and eliminates, removes, or otherwise controls accumulated charge when the FET is operated in the accumulated charge regime, thereby reducing the nonlinearity of the parasitic off-state source-to-drain capacitance of the SOI MOSFET. In RF switch circuits implemented with the improved SOI MOSFET devices, harmonic and intermodulation distortion can be reduced by removing or otherwise controlling the accumulated charge when the SOI MOSFET operates in an accumulated charge regime.


As previously mentioned, it is again noted that although lumped elements (e.g., discrete resistors, capacitors, and inductors) are depicted throughout the present disclosure, the embodiments of the present disclosure can also utilize distributed elements. Specifically, resistances, capacitances, and inductances can be distributed throughout a circuital arrangement and thus can be generally measured per unit length (e.g., Ω/length, F/length, and H/length, respectively). For example, transmission line elements such as half-wavelength, quarter-wavelength, series and parallel stubs (open circuit or short circuit stubs), and resonant stubs can also be utilized to provide resistances and reactances to the circuital arrangement. It should be noted that the various elements (either lumped or distributed) can be on-chip or off-chip.


The examples set forth above are provided to give those of ordinary skill in the art a complete disclosure and description of how to make and use the embodiments of the digitally tuned capacitors with tapered and reconfigurable quality factors of the disclosure, and are not intended to limit the scope of what the inventors regard as their disclosure. Modifications of the above-described modes for carrying out the disclosure may be used by persons of skill in the art, and are intended to be within the scope of the following claims. All patents and publications mentioned in the specification may be indicative of the levels of skill of those skilled in the art to which the disclosure pertains. All references cited in this disclosure are incorporated by reference to the same extent as if each reference had been incorporated by reference in its entirety individually.


It is to be understood that the disclosure is not limited to particular methods or systems, which can, of course, vary. It is also to be understood that the terminology used herein is for the purpose of describing particular embodiments only, and is not intended to be limiting. As used in this specification and the appended claims, the singular forms “a”, “an”, and “the” include plural referents unless the content clearly dictates otherwise. The term “plurality” includes two or more referents unless the content clearly dictates otherwise. Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which the disclosure pertains.


A number of embodiments of the disclosure have been described. Nevertheless, it will be understood that various modifications may be made without departing from the spirit and scope of the present disclosure. Accordingly, other embodiments are within the scope of the following claims.

Claims
  • 1. A digitally tuned capacitor (DTC) adapted for use in a circuit device, comprising: a first terminal;a second terminal; anda plurality of bit stages in parallel between the first terminal and the second terminal, each bit stage comprising at least one switch connected with at least one capacitor,wherein: the plurality of bit stages is configured to be controlled by a numeric control word in binary representation, each bit of the numeric control word representing a switching state of one bit stage in the plurality of bit stages, wherein the switching state is either an ON state or an OFF state, andstates of the DTC with same number of ON states is configured to provide a variable quality factor while maintaining a constant or near constant capacitance around a fixed level.
  • 2. The DTC according to claim 1, wherein the DTC is configured to provide one or more quality factors in a tapered distribution and a constant capacitance in response to one or more switching states of the numeric control word, the one or more switching states having the same number of bits that represent ON states.
  • 3. The DTC according to claim 1, wherein the DTC is configured to provide one or more capacitances in a tapered distribution and one or more quality factors in a tapered distribution in response to one or more switching states of the numeric control word, the one or more switching states being binary coded.
  • 4. The DTC according to claim 1, wherein the DTC is configured to provide one or more capacitances in a tapered distribution and one or more quality factors in a tapered distribution in response to one or more switching states of the numeric control word, the one or more switching states being thermometer-coded.
  • 5. The DTC according to claim 1, wherein the DTC is configured to provide one or more capacitances in a tapered distribution and one or more quality factors in a tapered distribution, wherein higher capacitance values in the one or more capacitances are associated with lower quality factors in the one or more quality factors.
  • 6. The DTC according to claim 1, wherein the DTC is configured to provide one or more capacitances in a tapered distribution and one or more quality factors in a tapered distribution, wherein lower capacitance values in the one or more capacitances are associated with lower quality factors in the one or more quality factors.
  • 7. The DTC according to claim 1, wherein each bit stage is associated with a quality factor and number of switches in the at least one switch of each bit stage is a function of the quality factor.
  • 8. The DTC according to claim 7, wherein number of switches is lower in a bit stage that, when in an ON state, is associated with a higher quality factor than in a bit stage that, when in an ON state, is associated with a lower quality factor.
  • 9. The DTC according to claim 1, wherein: the at least one switch in a particular bit stage, when in an ON state, is associated with an ON resistance; andcapacitance value of the at least one capacitor in the particular bit stage is a function of the ON resistance.
  • 10. The DTC according to claim 1, wherein: the at least one switch in a particular bit stage, when in an ON state, is associated with an ON resistance; andthe ON resistance of the particular bit stage is a function of capacitance value of the at least one capacitor in the particular bit stage.
  • 11. The DTC according to claim 1, wherein: the at least one switch in a particular bit stage, when in an ON state, is associated with an ON resistance; andthe ON resistance of the particular bit stage is a function of capacitance value of the at least one capacitor in one or more other bit stages among the plurality of bit stages.
  • 12. The DTC according to claim 1, wherein the at least one switch in a particular bit stage, when in an ON state, is associated with an ON resistance; andthe ON resistance of the particular bit stage is a function of a difference between capacitance value of the at least one capacitor in the particular bit stage and the at least one capacitor in one or more other bit stages among the plurality of bit stages.
  • 13. The DTC according to claim 1, wherein equivalent capacitance of the at least one capacitor in one bit stage is equal to equivalent capacitance of the at least one capacitor in each remaining bit stage.
  • 14. The DTC according to claim 1, wherein each switch among the at least one switch in each bit stage is a microelectromechanical system switch, a diode, a diode connected bipolar junction transistor, a field effect transistor, or an accumulated charge control field effect transistor.
  • 15. The DTC according to claim 1, wherein each capacitor among the at least one capacitor in each bit stage is a fixed capacitor or a variable capacitor.
  • 16. The DTC according to claim 15, wherein a capacitor among the at least one capacitor in a particular bit stage is a variable capacitor, the variable capacitor comprising a varactor diode or a varactor dielectric.
  • 17. A method of digitally tuning a tunable capacitor in a circuit device, comprising: providing a first terminal;providing a second terminal;providing a plurality of bit stages connected in parallel between the first terminal and the second terminal, each bit stage comprising at least one switch connected with at least one capacitor;applying a numeric control word in binary representation to the plurality of bit stages, each bit of the numeric control word representing a switching state of one bit stage in the plurality of bit stages, wherein the switching state is either an ON state or an OFF state;selectively controlling capacitance between the first terminal and the second terminal based on switching states of each bit stage in the plurality of bit stages; andconfiguring states of the tunable capacitor with same number of ON states to provide a variable quality factor while maintaining a constant or near constant capacitance around a fixed level.
  • 18. The method according to claim 17, wherein the numeric control word to be applied to the plurality of bit stages is based on quality factor to be provided by the tunable capacitor.
  • 19. The method according to claim 17, wherein the numeric control word to be applied to the plurality of bit stages is based on voltages to be applied to the first and/or second terminals.
  • 20. The method according to claim 17, wherein each switch among the at least one switch in each bit stage is a microelectromechanical system switch, a diode, a diode connected bipolar junction transistor, a field effect transistor, or an accumulated charge control field effect transistor.
  • 21. The method according to claim 17, wherein each capacitor among the at least one capacitor in each bit stage is a fixed capacitor or a variable capacitor.
  • 22. The method according to claim 21, wherein a capacitor among the at least one capacitor in a particular bit stage is a variable capacitor, the variable capacitor comprising a varactor diode or a varactor dielectric.
CROSS REFERENCE TO RELATED APPLICATIONS

The present application is a continuation-in-part of U.S. patent application Ser. No. 12/735,954 filed on Aug. 27, 2010, incorporated herein by reference in its entirety, which application is a 371 National Stage Entry of PCT Patent International Application No. PCT/US09/01358 filed on Mar. 2, 2009, entitled “Method and Apparatus for use in Digitally Tuning a Capacitor in an Integrated Circuit Device”, which PCT Application No. PCT/US09/01358 claims the benefit under 35 U.S.C. section 119(e) of provisional Application No. 61/067,634 filed Feb. 28, 2008.

US Referenced Citations (378)
Number Name Date Kind
3646361 Pfiffner Feb 1972 A
3699359 Shelby Oct 1972 A
3975671 Stoll Aug 1976 A
3988727 Scott Oct 1976 A
4053916 Cricchi et al. Oct 1977 A
4244000 Ueda et al. Jan 1981 A
4256977 Hendrickson Mar 1981 A
4316101 Minner Feb 1982 A
4317055 Yoshida et al. Feb 1982 A
4367421 Baker Jan 1983 A
4390798 Kurafuji Jun 1983 A
RE31749 Yamashiro Nov 1984 E
4638184 Kimura Jan 1987 A
4736169 Weaver et al. Apr 1988 A
4739191 Puar Apr 1988 A
4746960 Valeri et al. May 1988 A
4748485 Vasudev May 1988 A
4809056 Shirato et al. Feb 1989 A
4810911 Noguchi Mar 1989 A
4849651 Estes, Jr. Jul 1989 A
4890077 Sun Dec 1989 A
4891609 Eilley Jan 1990 A
4893070 Milberger et al. Jan 1990 A
4906587 Blake Mar 1990 A
4929855 Ezzeddine May 1990 A
4939485 Eisenberg Jul 1990 A
4984040 Yap Jan 1991 A
4985647 Kawada Jan 1991 A
5001528 Bahraman Mar 1991 A
5012123 Ayasli et al. Apr 1991 A
5023494 Tsukii et al. Jun 1991 A
5061907 Rasmussen Oct 1991 A
5061911 Weidman et al. Oct 1991 A
5081706 Kim Jan 1992 A
5095348 Houston Mar 1992 A
5124762 Childs et al. Jun 1992 A
5146178 Nojima et al. Sep 1992 A
5148393 Furuyama Sep 1992 A
5157279 Lee Oct 1992 A
5182529 Chern Jan 1993 A
5208557 Kersh, III May 1993 A
5272457 Heckaman et al. Dec 1993 A
5274343 Russell et al. Dec 1993 A
5283457 Matloubian Feb 1994 A
5285367 Keller Feb 1994 A
5306954 Chan et al. Apr 1994 A
5313083 Schindler May 1994 A
5317181 Tyson May 1994 A
5345422 Redwine Sep 1994 A
5350957 Cooper et al. Sep 1994 A
5375257 Lampen Dec 1994 A
5405795 Beyer et al. Apr 1995 A
5416043 Burgener et al. May 1995 A
5442327 Longbrake et al. Aug 1995 A
5446418 Hara et al. Aug 1995 A
5448207 Kohama Sep 1995 A
5477184 Uda et al. Dec 1995 A
5488243 Tsuruta et al. Jan 1996 A
5492857 Reedy et al. Feb 1996 A
5493249 Manning Feb 1996 A
5548239 Kohama Aug 1996 A
5553295 Pantelakis et al. Sep 1996 A
5554892 Norimatsu Sep 1996 A
5559368 Hu et al. Sep 1996 A
5572040 Reedy et al. Nov 1996 A
5576647 Sutardja Nov 1996 A
5578853 Hayashi et al. Nov 1996 A
5581106 Hayashi et al. Dec 1996 A
5594371 Douseki Jan 1997 A
5596205 Reedy et al. Jan 1997 A
5597739 Sumi et al. Jan 1997 A
5600169 Burgener et al. Feb 1997 A
5600588 Kawashima Feb 1997 A
5610533 Arimoto et al. Mar 1997 A
5629655 Dent May 1997 A
5663570 Reedy et al. Sep 1997 A
5670907 Gorecki et al. Sep 1997 A
5681761 Kim Oct 1997 A
5689144 Williams Nov 1997 A
5694308 Cave Dec 1997 A
5699018 Yamamoto et al. Dec 1997 A
5717356 Kohama Feb 1998 A
5729039 Beyer et al. Mar 1998 A
5731607 Kohama Mar 1998 A
5734291 Tasdighi et al. Mar 1998 A
5748016 Kurosawa May 1998 A
5748053 Kameyama et al. May 1998 A
5753955 Fechner May 1998 A
5760652 Yamamoto et al. Jun 1998 A
5767549 Chen et al. Jun 1998 A
5774411 Hsieh et al. Jun 1998 A
5774792 Tanaka et al. Jun 1998 A
5777530 Nakatuka Jul 1998 A
5784311 Assaderaghi et al. Jul 1998 A
5784687 Itoh et al. Jul 1998 A
5793246 Vest et al. Aug 1998 A
5801577 Tailliet Sep 1998 A
5804858 Hsu et al. Sep 1998 A
5807772 Takemura Sep 1998 A
5808505 Tsukada Sep 1998 A
5812939 Kohama Sep 1998 A
5814899 Okumura et al. Sep 1998 A
5818099 Burghartz Oct 1998 A
5818278 Yamamoto et al. Oct 1998 A
5818283 Tonami et al. Oct 1998 A
5818289 Chevallier et al. Oct 1998 A
5818766 Song Oct 1998 A
5821769 Douseki Oct 1998 A
5821800 Le et al. Oct 1998 A
5825227 Kohama et al. Oct 1998 A
5861336 Reedy et al. Jan 1999 A
5863823 Burgener Jan 1999 A
5864328 Kajimoto Jan 1999 A
5874836 Nowak et al. Feb 1999 A
5874849 Marotta et al. Feb 1999 A
5877978 Morishita et al. Mar 1999 A
5878331 Yamamoto et al. Mar 1999 A
5883396 Reedy et al. Mar 1999 A
5883541 Tahara et al. Mar 1999 A
5892260 Okumura et al. Apr 1999 A
5892382 Ueda et al. Apr 1999 A
5892400 van Saders et al. Apr 1999 A
5895957 Reedy et al. Apr 1999 A
5903178 Miyatsuji et al. May 1999 A
5912560 Pasternak Jun 1999 A
5917362 Kohama Jun 1999 A
5920233 Denny Jul 1999 A
5926466 Ishida et al. Jul 1999 A
5930605 Mistry et al. Jul 1999 A
5930638 Reedy et al. Jul 1999 A
5945867 Uda et al. Aug 1999 A
5959335 Bryant et al. Sep 1999 A
5969560 Kohama et al. Oct 1999 A
5973363 Staab et al. Oct 1999 A
5973382 Burgener et al. Oct 1999 A
5973636 Okubo et al. Oct 1999 A
5986518 Dougherty Nov 1999 A
5990580 Weigand Nov 1999 A
6013958 Aytur Jan 2000 A
6020778 Shigehara Feb 2000 A
6020781 Fujioka Feb 2000 A
6049110 Koh Apr 2000 A
6057555 Reedy et al. May 2000 A
6057723 Yamaji et al. May 2000 A
6061267 Houston May 2000 A
6064275 Yamauchi May 2000 A
6064872 Vice May 2000 A
6066993 Yamamoto et al. May 2000 A
6081165 Goldman Jun 2000 A
6081694 Matsuura et al. Jun 2000 A
6084255 Ueda et al. Jul 2000 A
6087893 Oowaki et al. Jul 2000 A
6094088 Yano Jul 2000 A
6100564 Bryant et al. Aug 2000 A
6104061 Forbes et al. Aug 2000 A
6111778 MacDonald et al. Aug 2000 A
6114923 Mizutani Sep 2000 A
6118343 Winslow Sep 2000 A
6122185 Utsunomiya et al. Sep 2000 A
6133752 Kawagoe Oct 2000 A
6160292 Flaker et al. Dec 2000 A
6169444 Thurber, Jr. Jan 2001 B1
6172378 Hull et al. Jan 2001 B1
6173235 Maeda Jan 2001 B1
6177826 Mashiko et al. Jan 2001 B1
6188247 Storino et al. Feb 2001 B1
6188590 Chang et al. Feb 2001 B1
6191449 Shimo Feb 2001 B1
6195307 Umezawa et al. Feb 2001 B1
6201761 Wollesen Mar 2001 B1
RE37124 Monk et al. Apr 2001 E
6215360 Callaway, Jr. Apr 2001 B1
6218248 Hwang et al. Apr 2001 B1
6218890 Yamaguchi et al. Apr 2001 B1
6218892 Soumyanath et al. Apr 2001 B1
6222394 Allen et al. Apr 2001 B1
6239649 Bertin et al. May 2001 B1
6249027 Burr Jun 2001 B1
6249029 Bryant et al. Jun 2001 B1
6249446 Shearon et al. Jun 2001 B1
6281737 Kuang et al. Aug 2001 B1
6288458 Berndt Sep 2001 B1
6297687 Sugimura Oct 2001 B1
6300796 Troutman et al. Oct 2001 B1
6304110 Hirano Oct 2001 B1
6308047 Yamamoto et al. Oct 2001 B1
6320225 Hargrove et al. Nov 2001 B1
6337594 Hwang Jan 2002 B1
6341087 Kunikiyo Jan 2002 B1
6356536 Repke Mar 2002 B1
6365488 Liao Apr 2002 B1
6380793 Bancal et al. Apr 2002 B1
6380796 Sakai et al. Apr 2002 B2
6387739 Smith May 2002 B1
6392440 Nebel May 2002 B2
6392467 Oowaki et al. May 2002 B1
6396325 Goodell May 2002 B2
6400211 Yokomizo et al. Jun 2002 B1
6407427 Oh Jun 2002 B1
6407614 Takahashi Jun 2002 B1
6414863 Bayer et al. Jul 2002 B1
6429487 Kunikiyo Aug 2002 B1
6429632 Forbes et al. Aug 2002 B1
6429723 Hastings Aug 2002 B1
6433587 Assaderaghi et al. Aug 2002 B1
6433589 Lee Aug 2002 B1
6452232 Adan Sep 2002 B1
6461902 Xu et al. Oct 2002 B1
6466082 Krishnan Oct 2002 B1
6469568 Toyoyama et al. Oct 2002 B2
6486511 Nathanson et al. Nov 2002 B1
6486729 Imamiya Nov 2002 B2
6498058 Bryant et al. Dec 2002 B1
6498370 Kim et al. Dec 2002 B1
6504212 Allen et al. Jan 2003 B1
6504213 Ebina Jan 2003 B1
6512269 Bryant et al. Jan 2003 B1
6518645 Bae et al. Feb 2003 B2
6521959 Kim et al. Feb 2003 B2
6531356 Hayashi Mar 2003 B1
6537861 Kroell et al. Mar 2003 B1
6559689 Clark May 2003 B1
6563366 Kohama May 2003 B1
6608785 Chuang et al. Aug 2003 B2
6608789 Sullivan et al. Aug 2003 B2
6617933 Ito et al. Sep 2003 B2
6631505 Arai Oct 2003 B2
6632724 Henley et al. Oct 2003 B2
6642578 Arnold et al. Nov 2003 B1
6646305 Assaderaghi et al. Nov 2003 B2
6653697 Hidaka et al. Nov 2003 B2
6677641 Kocon Jan 2004 B2
6677803 Chiba Jan 2004 B1
6683499 Lautzenhiser et al. Jan 2004 B2
6684065 Bult Jan 2004 B2
6693326 Adan Feb 2004 B2
6693498 Sasabata et al. Feb 2004 B1
6698082 Crenshaw et al. Mar 2004 B2
6703863 Gion Mar 2004 B2
6711397 Petrov et al. Mar 2004 B1
6714065 Komiya et al. Mar 2004 B2
6717458 Potanin Apr 2004 B1
6747522 Pietruszynski et al. Jun 2004 B2
6753738 Baird Jun 2004 B1
6762477 Kunikiyo Jul 2004 B2
6774701 Heston et al. Aug 2004 B1
6781805 Urakawa Aug 2004 B1
6788130 Pauletti et al. Sep 2004 B2
6790747 Henley et al. Sep 2004 B2
6801076 Merritt Oct 2004 B1
6803680 Brindle et al. Oct 2004 B2
6804502 Burgener et al. Oct 2004 B2
6816016 Sander et al. Nov 2004 B2
6819938 Sahota Nov 2004 B2
6830963 Forbes Dec 2004 B1
6836172 Okashita Dec 2004 B2
6870241 Nakatani et al. Mar 2005 B2
6871059 Piro et al. Mar 2005 B1
6879502 Yoshida et al. Apr 2005 B2
6889036 Ballweber et al. May 2005 B2
6891234 Connelly et al. May 2005 B1
6897701 Chen et al. May 2005 B2
6898778 Kawanaka May 2005 B2
6906653 Uno Jun 2005 B2
6908832 Farrens et al. Jun 2005 B2
6917258 Kushitani et al. Jul 2005 B2
6933744 Das et al. Aug 2005 B2
6947720 Razavi et al. Sep 2005 B2
6969668 Kang et al. Nov 2005 B1
6975271 Adachi et al. Dec 2005 B2
6978122 Kawakyu et al. Dec 2005 B2
6978437 Rittman et al. Dec 2005 B1
6992543 Luetzelschwab et al. Jan 2006 B2
7023260 Thorp et al. Apr 2006 B2
7042245 Hidaka May 2006 B2
7045873 Chen et al. May 2006 B2
7056808 Henley et al. Jun 2006 B2
7057472 Fukamachi et al. Jun 2006 B2
7058922 Kawanaka Jun 2006 B2
7082293 Rofougaran et al. Jul 2006 B1
7092677 Zhang et al. Aug 2006 B1
7109532 Lee et al. Sep 2006 B1
7123898 Burgener et al. Oct 2006 B2
7129545 Cain Oct 2006 B2
7132873 Hollmer Nov 2006 B2
7138846 Suwa et al. Nov 2006 B2
7161197 Nakatsuka et al. Jan 2007 B2
7173471 Nakatsuka et al. Feb 2007 B2
7190933 De Ruijter et al. Mar 2007 B2
7199635 Nakatsuka et al. Apr 2007 B2
7212788 Weber et al. May 2007 B2
7269392 Nakajima et al. Sep 2007 B2
7299018 Van Rumpt Nov 2007 B2
7307490 Kizuki Dec 2007 B2
7345342 Challa Mar 2008 B2
7345521 Takahashi et al. Mar 2008 B2
7355455 Hidaka Apr 2008 B2
7391282 Nakatsuka et al. Jun 2008 B2
7404157 Tanabe et al. Jul 2008 B2
7405982 Flaker et al. Jul 2008 B1
7432552 Park Oct 2008 B2
7460852 Burgener et al. Dec 2008 B2
7515882 Kelcourse et al. Apr 2009 B2
7518458 Nakamura et al. Apr 2009 B2
7546089 Bellantoni Jun 2009 B2
7554789 Chen Jun 2009 B2
7561853 Miyazawa Jul 2009 B2
7616482 Prall Nov 2009 B2
7659152 Gonzalez et al. Feb 2010 B2
7714676 McKinzie May 2010 B2
7733156 Brederlow et al. Jun 2010 B2
7733157 Brederlow et al. Jun 2010 B2
7741869 Hidaka Jun 2010 B2
7796969 Kelly et al. Sep 2010 B2
7825715 Greenberg Nov 2010 B1
7860499 Burgener et al. Dec 2010 B2
7910993 Brindle et al. Mar 2011 B2
7928759 Hidaka Apr 2011 B2
7960772 Englekirk Jun 2011 B2
7982265 Challa et al. Jul 2011 B2
8138816 Freeston et al. Mar 2012 B2
8536636 Englekirk Sep 2013 B2
8583111 Burgener et al. Nov 2013 B2
8604864 Ranta et al. Dec 2013 B2
8638159 Ranta et al. Jan 2014 B2
8669804 Ranta et al. Mar 2014 B2
8803631 Manssen et al. Aug 2014 B2
9024700 Ranta May 2015 B2
9106227 Ranta et al. Aug 2015 B2
9197194 Reedy et al. Nov 2015 B2
20010015461 Ebina Aug 2001 A1
20010031518 Kim et al. Oct 2001 A1
20010040479 Zhang Nov 2001 A1
20010045602 Maeda et al. Nov 2001 A1
20020115244 Park et al. Aug 2002 A1
20020195623 Horiuchi et al. Dec 2002 A1
20030002452 Sahota Jan 2003 A1
20030141543 Bryant et al. Jul 2003 A1
20030181167 Iida Sep 2003 A1
20030201494 Maeda et al. Oct 2003 A1
20030205760 Kawanaka et al. Nov 2003 A1
20030222313 Fechner Dec 2003 A1
20040061130 Morizuka Apr 2004 A1
20040080364 Sander et al. Apr 2004 A1
20040129975 Koh et al. Jul 2004 A1
20040204013 Ma et al. Oct 2004 A1
20040242182 Hidaka et al. Dec 2004 A1
20050017789 Burgener et al. Jan 2005 A1
20050068103 Dupuis et al. Mar 2005 A1
20050077564 Forbes Apr 2005 A1
20050079829 Ogawa et al. Apr 2005 A1
20050121699 Chen et al. Jun 2005 A1
20050127442 Veeraraghavan et al. Jun 2005 A1
20050167751 Nakajima et al. Aug 2005 A1
20050285684 Burgener et al. Dec 2005 A1
20050287976 Burgener et al. Dec 2005 A1
20060009164 Kataoka Jan 2006 A1
20060077082 Shanks et al. Apr 2006 A1
20060160520 Miyazawa Jul 2006 A1
20060194558 Kelly Aug 2006 A1
20060194567 Kelly et al. Aug 2006 A1
20060270367 Burgener et al. Nov 2006 A1
20070018247 Brindle et al. Jan 2007 A1
20070023833 Okhonin et al. Feb 2007 A1
20070045697 Cheng et al. Mar 2007 A1
20070279120 Brederlow et al. Dec 2007 A1
20080073719 Fazan et al. Mar 2008 A1
20080076371 Dribinsky et al. Mar 2008 A1
20080106349 McKinzie May 2008 A1
20080265978 Englekirk Oct 2008 A1
20080303080 Bhattacharyya Dec 2008 A1
20090029511 Wu Jan 2009 A1
20090224843 Radoias et al. Sep 2009 A1
20110002080 Ranta Jan 2011 A1
20110163779 Hidaka Jul 2011 A1
20110227666 Manssen et al. Sep 2011 A1
20130222075 Reedy et al. Aug 2013 A1
20140165385 Englekirk et al. Jun 2014 A1
Foreign Referenced Citations (111)
Number Date Country
1256521 Jun 2000 CN
19832565 Aug 1999 DE
385641 Sep 1990 EP
0622901 Nov 1994 EP
782267 Jul 1997 EP
913939 May 1999 EP
625831 Nov 1999 EP
1006584 Jul 2000 EP
2568608 Mar 2013 EP
2760136 Jul 2014 EP
55-75348 Jun 1980 JP
S63-164352 Jul 1988 JP
1254014 Oct 1989 JP
2161769 Jun 1990 JP
04-34980 Feb 1992 JP
4183008 Jun 1992 JP
5299995 Nov 1993 JP
6112795 Apr 1994 JP
06-314985 Nov 1994 JP
06-334506 Dec 1994 JP
6334506 Dec 1994 JP
7046109 Feb 1995 JP
07-070245 Mar 1995 JP
07106937 Apr 1995 JP
8023270 Jan 1996 JP
8070245 Mar 1996 JP
8148949 Jun 1996 JP
11163704 Jun 1996 JP
8251012 Sep 1996 JP
08-307305 Nov 1996 JP
8330930 Dec 1996 JP
9008627 Jan 1997 JP
9041275 Feb 1997 JP
9055682 Feb 1997 JP
9092785 Apr 1997 JP
9148587 Jun 1997 JP
09163721 Jun 1997 JP
9163721 Jun 1997 JP
09-200021 Jul 1997 JP
9181641 Jul 1997 JP
9186501 Jul 1997 JP
09200021 Jul 1997 JP
9200074 Jul 1997 JP
9238059 Sep 1997 JP
9243738 Sep 1997 JP
09-008621 Oct 1997 JP
9270659 Oct 1997 JP
9284114 Oct 1997 JP
9284170 Oct 1997 JP
9298493 Oct 1997 JP
9326642 Dec 1997 JP
10079467 Mar 1998 JP
10-93471 Apr 1998 JP
10-242477 Sep 1998 JP
10-242829 Sep 1998 JP
10242826 Sep 1998 JP
10-344247 Dec 1998 JP
10335901 Dec 1998 JP
11026776 Jan 1999 JP
11112316 Apr 1999 JP
11-136111 May 1999 JP
11163642 Jun 1999 JP
11205188 Jul 1999 JP
11274804 Oct 1999 JP
2000031167 Jan 2000 JP
2000183353 Jun 2000 JP
2000188501 Jul 2000 JP
2000208614 Jul 2000 JP
2000223713 Aug 2000 JP
2000243973 Sep 2000 JP
2000277703 Oct 2000 JP
2000294786 Oct 2000 JP
2000311986 Nov 2000 JP
2001007332 Jan 2001 JP
2001089448 Mar 2001 JP
2001-119281 Apr 2001 JP
2001157487 May 2001 JP
2001156182 Jun 2001 JP
2001-510006 Jul 2001 JP
2001274265 Oct 2001 JP
2002-033660 Jan 2002 JP
2002-098712 Apr 2002 JP
2004515937 May 2002 JP
2000358775 Jun 2002 JP
2003060451 Feb 2003 JP
2003101407 Apr 2003 JP
2003-516083 May 2003 JP
2003143004 May 2003 JP
2003167615 Jun 2003 JP
2003189248 Jul 2003 JP
2003332583 Nov 2003 JP
2002156602 Dec 2003 JP
2004-147175 May 2004 JP
2004166470 Jun 2004 JP
2004199950 Jul 2004 JP
2004288978 Oct 2004 JP
2005-203643 Jul 2005 JP
5591356 Aug 2014 JP
1994027615 Dec 1994 KR
WO8601037 Feb 1986 WO
WO9523460 Aug 1995 WO
WO9806174 Feb 1998 WO
WO9935695 Jul 1999 WO
WO9949565 Sep 1999 WO
WO0141306 Jun 2001 WO
WO0227920 Apr 2002 WO
WO2006038190 Apr 2006 WO
WO2007033045 Mar 2007 WO
WO-2008133621 Nov 2008 WO
2009108391 Sep 2009 WO
WO-2009108391 Sep 2009 WO
Non-Patent Literature Citations (501)
Entry
“An Ultra-Thin Silicon Technology that Provides Integration Solutions on Standard CMOS”, Peregrine Semiconductor, 1998.
Caverly, “Distortion in Microwave Control Devices”, 1997.
Masuda, et al., “RF Current Evaluation of ICs by MP-10L”, NEC Research & Development, vol. 40-41, 1999, pp. 253-258.
“Miniature Dual Control SP4T Switches for Low Cost Multiplexing”, Hittite Microwave, 1995.
Uda, “Miniturization and High Isolation of a GaAs SPDT Switch IC Mounted in Plastic Package”, 1996.
Marshall, et al., “SOI Design: Analog, Memory, and Digital Techniques”, Kluwer Academic Publishers, 2002.
Bernstein, et al., “SOI Circuit Design Concepts”, Springer Science+Business Media, 2000.
Brinkman, et al., Respondents' Notice of Prior Art, Investigation No. 337-TA-848, dated Aug. 31, 2012, 59 pgs.
Gonzalez, Brosa A., Decision to Grant a European Patent Pursuant to Article 97(1) EPC received from the EPO dated Nov. 2, 2012 for related appln. No. 09715932.1, 1 pg.
Simon, Volker, Communication Pursuant to Article 94(3) EPC received from the EPO dated Nov. 16, 2012 for related appln. No. 09174085.2, 8 pgs.
Le, Dinh Thanh, Office Action received from the USPTO dated Nov. 20, 2012 for related U.S. Appl. No. 12/803,064, 6 pgs.
Patel, Reema, Notice of Allowance received from the USPTO dated Dec. 3, 2012 for related U.S. Appl. No. 13/046,560, 9 pgs.
Japanese Patent Office, Notice of Allowance received from the Japanese Patent Office dated Dec. 17, 2012 for related appln. No. 2010-506156, 3 pgs.
Dang, Hung, Notice of Allowance received from the USPTO dated Oct. 1, 2012 for related U.S. Appl. No. 12/735,954, 67 pgs.
Nguyen, Niki Hoang, Office Action received from the USPTO dated Sep. 26, 2012 for related U.S. Appl. No. 13/277,108, 47 pgs.
Nishide, Ryuji, Translation of Japanese Office Action received from the JPO dated Jul. 17, 2012 for related appln. No. 2008-521544, 4 pgs.
Dang, Hung Q., Notice of Allowance received from the USPTO dated Jan. 25, 2013 for related U.S. Appl. No. 12/735,954, 42 pgs.
Rojas, Daniel E, Office Action received from USPTO on Feb. 21, 2013 for related U.S. Appl. No. 12/803,139, 7 pgs.
Le, Dinh Thanh, Notice of Allowance received from USPTO on Mar. 4, 2013 for related U.S. Appl. No. 12/803,064, pp. 6.
Ranta, et al., Second Response After Final filed in the USPTO on Feb. 20, 2013 for related U.S. Appl. No. 12/803,064, 9 pgs.
Patel, Reema, Notice of Allowance received from USPTO on Mar. 15, 2013 for related U.S. Appl. No. 13/046,560, 10 pgs.
Ranta, et al., Response After Final filed in the USPTO dated Jan. 22, 2013 for related U.S. Appl. No. 12/803,064, 7 pgs.
Brosa, Anna-Maria, European Search Report received from the EPO dated Feb. 1, 2013 for related appln. No. EP12194187, 10 pgs.
Lauterbach, et al., “Charge Sharing Concept and New Clocking Scheme for Power Efficiency and Electromagnetic Emission Improvement of Boosted Charge Pumps”, IEEE Journal of Solid-State Circuits, vol. 35, No. 5, May 2000, pp. 719-723.
Dang, Hung Q., Notice of Allowance received from the USPTO dated May 10, 2013 for related U.S. Appl. No. 12/735,954, 22 pgs.
Ranta, et al., Response filed in USPTO dated May 20, 2013 for related U.S. Appl. No. 12/803,139, 8 pgs.
Peregrine Semiconductor Corporation, Response filed in EPO dated May 23, 2013 for related appln. No. 09174085.2, 16 pgs.
Utagawa, Tsutomu, Office Action received from the JPO dated Jun. 4, 2013 for related appln. No. 2010-548750, 3 pgs.
Englekirk, Robert Mark, Part B—Fee(s) Transmittal and Comments on Examiner's Statement of Reasons for Allowance filed in USPTO dated Jun. 17, 2013 for related U.S. Appl. No. 13/046,560, 4 pgs.
Rojas, Daniel E., Office Action received from USPTO dated Jun. 17, 2013 for related U.S. Appl. No. 12/803,139, 36 pgs.
Le, Dinh Thanh, Notice of Allowance received from the USPTO dated Jul. 18, 2013 for related U.S. Appl. No. 12/803,064, 12 pgs.
Cole, Brandon S., Notice of Allowance received from the USPTO dated Jul. 30, 2013 for related U.S. Appl. No. 12/803,133, 136 pgs.
Dang, Hung, Notice of Allowance received from the USPTO dated Sep. 13, 2013 for related U.S. Appl. No. 12/735,954, 16 pgs.
Burgener, et al., Amendment filed in the USPTO dated Aug. 19, 2013 for related U.S. Appl. No. 12/980,161, 20 pgs.
Peregrine Semiconductor Corporation, Response filed in the JPO dated Sep. 4, 2013 for related appln. No. 2010-548750, 11 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated Sep. 11, 2013 for related appln. No. 1219418.6, 16 pgs.
Ranta, et al., Response filed in the USPTO dated Sep. 17, 2013 for related U.S. Appl. No. 12/803,139, 14 pgs.
Rojas, Daniel, Notice of Allowance received from the USPTO dated Oct. 22, 2013 for related U.S. Appl. No. 12/803,139, 142 pgs.
Volker, Simon, Communication Pursuant to Article 94(3) EPC received from the EPO dated Sep. 24, 2013 for related appln. No. 07794407.2, 5 pgs.
Geynet, et al., “Fully-Integrated Mutli-Standard VCO with Switched LC Tank and Power Controlled by Body Voltage in SOI”, RFIC, San Francisco, Jun. 11-13, 2006, 24 pgs.
Geynet, et al., “Fully-Integrated Mutli-Standard VCOs with switched LC tank and Power Controlled by Body Voltage in 130nm CMOS/SOI”, CEA-Leti, France, 2006, 4 pgs.
Lai, et al. “A 5GHz CMOS Low Phase Noise Transformer Power Combining VCO”, RFIC, 2006, U.C. Santa Barbara, California, 17 pgs.
Lai, et al. “A 5GHz CMOS Low Phase Noise Transformer Power Combining VCO”, 2006, Dept. of Electrical and Computer Engineering, U.C. Santa Barbara, California, 4 pgs.
Funakoshi, Ryo, Office Action and English translation received from the JPO dated Oct. 29, 2013 for related appln. No. 2013-006365, 15 pgs.
European Patent Office, Communication under Rule 71(3) EPC received from the EPO dated Nov. 12, 2013 for related appln. No. 12194187.6, 94 pgs.
Japanese Patent Office, Certificate of Grant received from the JPO dated Nov. 22, 2013 for related appln. No. 2010-548750, 2 pgs.
Dang, Hung, Office Action received from the USPTO dated Feb. 26, 2014 for related U.S. Appl. No. 12/735,954, 34 pgs.
Gonzalez, Brosa, Decision to grant a European patent pursuant to Article 97(1) EPC received from the EPO dated Apr. 17, 2014 for related appln. No. 12194187.6, 1 pgs.
Peregrine Semiconductor Corporation, Response and English translation that was filed in the JPO dated Apr. 28, 2014 for related appln. No. 2013-006353, 22 pgs.
European Patent Office, Noting of Loss of Rights pursuant to Rule 112(1) EPC received from the EPO dated May 2, 2014 for related appln. No. 07794407.2, 1 pgs.
Brosa, Anna-Maria, Extended Search Report received from the EPO dated May 27, 2014 for related appln. No. 14165804.7, 8 pgs.
Ranta, Tero, Amendment filed in the USPTO dated Jun. 26, 2014 for related U.S. Appl. No. 12/735,954, 33 pgs.
Japanese Patent Office, Notice of Allowance received from the JPO dated Jul. 8, 2014 for related appln. No. 2013-006353, 3 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated Jul. 11, 2014 for related appln. No. 07794407.2, 32 pgs.
European Patent Office, Communication pursuant to Rule 58 EPC received from the EPO dated Jul. 21, 2014 for related appln. No. 07794407.2, 5 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated Jul. 30, 2014 for related appln. No. 07794407.2, 25 pgs.
Rojas, Daniel, Office Action received from the USPTO dated Aug. 12, 2014 for related U.S. Appl. No. 14/178,116, 9 pgs.
Patel, Reema, Office Action received from the USPTO dated Aug. 15, 2014 for related U.S. Appl. No. 14/028,357, 8 pgs.
Wong, Alan, Office Action received from the USPTO dated Sep. 12, 2014 for related U.S. Appl. No. 13/595,893, 11 pgs.
NEC Corporation, “uPG13xG Series L-Band SPDT Switch GaAs MMIC”, Document No. P1096EJ1VOANDO (1st Edition), Feb. 1996, 30 pgs.
Pozar, “Microwave and RF Design of Wireless Systems”, Wiley, 2001.
Maas, “The RF and Microwave Circuit Design Cookbook”, Artech House, 1998.
Smith, “Modern Communication Systems”, McGraw-Hill, 1998.
Van Der Pujie, “Telecommunication Circuit Design”, Wiley, 2002.
Razavi, “RF Microelectronics”, Prentice-Hall, 1998.
Van Der Pujie, “Telecommunication Circuit Design”, Wiley, 1992.
Weisman, “The Essential Guide to RF and Wireless”, Prentice-Hall, 2000.
Wetzel, “Silicon-on-Sapphire Technology for Microwave Power Application”, University of California, San Diego, 2001.
Johnson, “Silicon-on-Sapphire Technology for Microwave Circuit Applications”, Dissertation, UCSD, 1997, pp. 1-184.
Barker, Communications Electronics-Systems, Circuits, and Devices, 1987, Prentice-Hall.
Carr, “Secrets of RF Circuit Design”, McGraw-Hill, 1997.
Couch, “Digital and Analog Communication Systems”, 2001, Prentice-Hall.
Couch, “Modern Telecommunication System”, Prentice-Hall, 1995.
Freeman, “Radio System Design for Telecommunications”, Wiley, 1997.
Gibson, “The Communication Handbook”, CRC Press, 1997.
Hanzo, “Adaptive Wireless Transceivers”, Wiley, 2002.
Itoh, “RF Technologies for Low Power Wireless Communications”, Wiley, 2001.
Lossee, “RF Systems, Components, and Circuits Handbook”, Artech House, 1997.
Miller, “Modern Electronic Communications”, Prentice-Hall, 1999.
Fuse, et al., “A 0.5V 200MHz 1-Stage 32b ALU Using a Body Bias Controlled SOI Pass-Gate Logic”, IEEE Int'l Solid-State Circuits Conference, Feb. 1997.
Douseki, et al., “A 0.5-V MTCMOS/SIMOX Logic Gate”, IEEE Journal of Solid-State Circuits, vol. 32, No. 10, Oct. 1997.
Douseki, et al., “A 0.5v SIMOX-MTMCOS Circuit with 200ps Logic Gate”, IEEE Int'l Solid-State Circuits Conference, 1996, pp. 84-85, 423.
Shimomura, et al., “A 1-V 46-ns 16-mb SOI-DRAM with Body Control Technique”, IEEE Journal of Solid-State Circuits, vol. 32, No. 11, Nov. 1997, pp. 1712-1720.
Ueda, et al., “A CAD Compatible SOI/CMOS Gate Array Having Body Fixed Partially Depleted Transistors”, IEEE Int'l Solid-State Circuits Conference, Feb. 8, 1997, pp. 288-289.
Workman, et al., “A Comparative Analysis of the Dynamic Behavior of BTG/SOI MOSFETs and Circuits with Distributed Body Resistance”, IEEE Transactions on Electron Devices, vol. 45, No. 10, Oct. 1998, pp. 2138-2145.
Kuang, et al., “A Dynamic Body Discharge Technique for SOI Circuit Applications”, IEEE Int'l SOI Conference, Oct. 1999, pp. 77-78.
Assaderaghi, et al., “A Dynamic Threshold Voltage MOSFET (DTMOS) for Ultra-Low Voltage Operation”, Int'l Electron Devices Meeting, Dec. 1994, pp. 809-812.
Kuang, et al., “A Floating-Body Charge Monitoring Technique for Partially Depleted SOI Technology”, Int'l Journal of Electronics, vol. 91, No. 11, Nov. 2004, pp. 625-637.
Gil, et al., “A High Speed and Low Power SOI Inverter Using Active Body-Bias”, Proceedings Int'l Symposium on Low Power Electronics and Design, Aug. 1998, pp. 59-63.
Gil, et al., “A High Speed and Low Power SOI Inverter Using Active Body-Bias”, Solid-State Electronics, vol. 43, 1999, pp. 791-799.
Kuang, et al., “A High-Performance Body-Charge-Modulated SOI Sense Amplifier”, IEEE Int'l SOI Conference, Oct. 2000, pp. 100-101.
Tinella, et al., “A High-Performance CMOS-SOI Antenna Switch for the 2.5 5GHz Band”, IEEE Journal of Solid-State Circuits, vol. 38, No. 7, Jul. 2003, All pgs.
Chung, et al., “A New SOI Inverter for Low Power Applications”, IEEE SOI Conference, Oct. 1996, pp. 20-21.
Chung, et al., “A New SOI Inverter Using Dynamic Threshold for Low-Power Applications”, IEEE Electron Device Letters, vol. 18, No. 6, Jun. 1997, pp. 248-250.
Chung, et al., “A New SOI MOSFET Structure with Junction Type Body Contact”, Int'l Electron Device Meeting (IEDM) Technical Digest, 1999, pp. 59-62.
Terauchi, et al., “A Novel 4T SRAM Cell Using “Self-Body-Biased” SOI MOSFET Structure Operating at 0.5 Volt”, IEEE Int'l SOI Conference, Oct. 2000, pp. 108-109.
Wang, et all., “A Novel Low-Voltage Silicon-On-Insulator (SOI) CMOS Complementary Pass-Transistor Logic (CPL) Circuit Using Asymmetrical Dynamic Threshold Pass-Transistor (ADTPT) Technique”, Proceedings of the 43rd IEEE Midwest Symposium on Circuits and Systems, Aug. 2000, pp. 694-697.
Das, et al., “A Novel Sub-1 V High Speed Circuit Design Technique in Partially Depleted SOI-CMOS Technology with Ultra Low Leakage Power”, Proceedings of the 28th European Solid-State Circuits Conference, Sep. 2002, pp. 24-26.
Das, et al., “A Novel Sub-1 V High Speed Circuit Design Technique in Partially Depleted SOI-CMOS Technology with Ultra Low Leakage Power”, Proceedings of the 28th European Solid-State Circuits Conference, Sep. 2002, pp. 267-270.
Kanda, et al., “A Si RF Switch MMIC for the Cellular Frequency Band Using SOI-CMOS Technology”, Institute of Electronics, Information and Communication Engineers Technical Report, vol. 100, No. 152, Jun. 2000, pp. 79-83.
Hu, et al., “A Unified Gate Oxide Reliability Model”, IEEE 37th Annual Int'l Reliability Physics Symposium, 1999, pp. 47-51, San Diego, California.
Nakatani, “A Wide Dynamic Range Switched-LNA in SiGe BICMOS”, IEEE Radio Frequency Integrated Circuits Symposium, 2001, pp. 223-226.
Tseng, et al., “AC Floating-Body Effects and the Resultant Analog Circuit Issues in Submicron Floating body and Body-Grounded SOI MOSFET's”, IEEE Transactions on Electron Devices, vol. 46, No. 8, Aug. 1999, pgs. All.
Tseng, et al., “AC Floating-Body Effects in Submicron Fully Depleted (FD) SOI nMOSFET's and the Impact on Analog Applications”, IEEE Electron Devices, vol. 19, No. 9, Sep. 1998, pp. 351-353.
Wada, et al., “Active Body-Bias SOI-CMOS Driver Circuits”, Symposium on VLSI Circuits Digest of Technical Papers, 1997, pp. 29-30.
Stuber, et al., Amendment filed in the USPTO dated Jun. 10, 2010 for related U.S. Appl. No. 11/520,912, 28 pgs.
Saccamango, et al., “An SOI Floating Body Charge Monitor Technique”, IEEE Int'l SOI Conference, Oct. 2000, pp. 88-89.
Lee, et al., “Analysis of Body Bias Effect with PD-SOI or Analog and RF Applications”, Solid State Electron, vol. 46, 2002, pp. 1169-1176.
Dunga, “Analysis of Floating Body Effects in Thin Film SOI MOSFET's Using the GIDL Current Technique”, Proceedings of the 8th Int'l Symposium on Physical and Failure Analysis of Integrated Circuits, 2001, pp. 254-257.
Gautier, et al., “Body Charge Related Transient Effects in Floating Body SOI NMOSEETs”, IEDM Tech. Digest, 1995, pp. 623-626.
Koh, et al., “Body-Contracted SOI MOSFET Structure and its Application to DRAM”, IEEE Transactions on Electron Devices, vol. 45, No. 5, May 1998, pp. 1063-1070.
Koh, et al., “Body-Contacted SOI MOSFET Structure with Fully Bulk CMOS Compatible Layout and Process”, IEEE Electron Device Letters, vol. 18, No. 3, Mar. 1997, pp. 102-104.
Tseng, et al., “Characterization of Floating Body and Body-Grounded Thin Film Silicon-on-Insulator MOSFETs for Analog Circuit Applications”, Ph.D. Thesis, UCLA, 1999, pgs. All.
Madihian, et al., “CMOS RF ICs for 900MHz-2.4GHz Band Wireless Communication Networks”, IEEE Radio Frequency Integrated Circuits Symposium, 1999, pp. 13-16.
Orndorff, et al., “CMOS/SOS/LSI Switching Regulator Control Device”, IEEE Int'l Solid-State Circuits Conference, ISSCC 78, Feb. 1978, pp. 234-235, 282.
Eschenbach, Communication from the EPO dated Feb. 4, 2009 for related appln. No. 06786943.8, 101 pgs.
Shingleton, Communication from the USPTO dated Apr. 28, 2009 for related U.S. Appl. No. 11/881,816, 3 pgs.
Ajjkuttira, et al., “A Fully Integrated CMOS RFIC for Bluetooth Applications”, IEEE International Solid-State Circuits Conference, 2001, pp. 1-3.
Apel, et al., “A GaAs MMIC Transceiver for 2.45 GHz Wireless Commercial Products”, Microwave and Millimeter-Wave Monolithic Circuits Symposium, 1994, pp. 15-18.
Assaderaghi, et al., “Dynamic Threshold Voltage MOSFET (DTMOS) for Ultra Low Voltage VLSI”, IEEE Transactions on Electron Devices, vol. 44, No. 3, Mar. 1997, pp. 414-422.
Bolam, et al., “Reliability Issues for Silicon-on-Insulator”, IEEE, 2000, pp. 6.4.1-6A.4.
Bolam, et al., “Reliability Issues for Silicon-on-Insulator”, IBM Micro Electronics Division, IEEE 2000, pp. 6.4.1-6.4.4.
Caverly, et al., “CMOS RF Circuits for Integrated Wireless Systems”, IEEE, 1998, pp. 1-4.
Chao, et al., “High-Voltage and High-Temperature Applications of DTMOS with Reverse Schottky Barrier on Substrate Contacts”, vol. 25, No. 2, Feb. 2004, pp. 86-88.
Devlin, et al., “A 2.4 GHz Single Chip Transceiver”, Microwave and Millimeter-Wave Monolithic Circuits Symposium, 1993, pp. 23-26.
Fiorenza, et al., “RF Power Performance of LDMOSFETs on SOI: An Experimental Comparison with Bulk Si MOSFETs”, IEEE Radio Frequency Integrated Circuits Symposium, 2001, pp. 43-46.
Giffard, et al., “Dynamic Effects in SOI MOSFETs”, IEEE SOS/SOI Technology Conference, Oct. 1991, pp. 160-161.
Hirano, et al., “Impact of Actively Body-Bias Controlled (ABC) SOI SRAM by Using Direct Body Contact Technology for Low-Voltage Applications”, IEEE, 2003, pp. 2.4.1-2.4.4.
Imai, et al., “Novel High Isolation FET Switches”, IEEE Transactions on Microwave Theory and Techniques, 1996, pp. 685-691.
Ishida, et al., “A Low Power GaAs Front End IC with Current Reuse Configuration Using 0.15um Gate GaAs MODFETs”, IEEE, 1997, pp. 669-672.
Iwata, et al., “Gate Over Driving CMOS Architecture for 0.5V Single Power Supply Operated Devices”, IEEE, 1997, pp. 290-291, 473.
Kumar, et al., “A Simple High Performance Complementary TFSOI BiCMOS Technology with Excellent Cross-Talk Isolation”, 2000 IEEE International SOI Conference, 2000, pp. 142-143.
Kwok, “An X-Band SOS Resistive Gate Insulator Semiconductor (RIS) Switch”, IEEE Transactions on Electron Device, 1980, pp. 442-448.
Lee, et al., “Effect of Body Structure on Analog Performance of SOI NMOSFETs”, 1998 IEEE International SOI Conference, Oct. 1998, pp. 61-62.
Lee, “CMOS RF: (Still) No Longer an Oxymoron (Invited)”, IEEE Radio Frequency Integrated Circuits Symposium, 1999, pp. 3-6.
Madihian, et al., “A 2-V, 1-10GHz BiCMOS Transceiver Chip for Multimode Wireless Communications Networks”, IEEE, 1997, pp. 521-525.
McRory, et al., “Transformer Coupled Stacked FET Power Amplifier”, IEEE Journal of Solid State Circuits, vol. 34, No. 2, Feb. 1999, pp. 157-161.
Nagayama, et al., “Low Insertion Los DP3T MMIC Switch for Dual Band Cellular Phones”, IEEE Jounral of Solid State Circuits, 1999, pp. 1051-1055.
Nishijima, et al., “A High Performance Transceiver Hybrid IC for PHS Hand Set Operating with Single Positive Voltage Supply”, Microwave Symposium Digest, 1997, pp. 1155-1158.
O, et al., “CMOS Components for 802.11b Wireless LAN Applications”, IEEE Radio Frequency Integrated Circuits Symposium, 2002, pp. 103-106.
Peczalski, “RF/Analog/Digital SOI Technology GPS Receivers and Other Systems on a Chip”, IEEE Aerospace Conference Proceedings, 2002, pp. 2013-2017.
Shifrin, et al., “A New Power Amplifier Topology with Series Biasing and Power Combining of Transistors”, IEEE 1992 Microwave and Millimeter-Wave Monolithic Circuits Symposium, 1992, pp. 39-41.
Shimura, et al., “High Isolation V-Band SPDT Switch MMIC for High Power Use”, IEEE MTT-S International Microwave Symposium Digest, 2001, pp. 245-248.
Uda, et al., “A High Performance and Miniturized Dual Use (antenna/local) GaAs SPDT Switch IC Operating at +3V/0V”, Microwave Symposium Digest, 1996, pp. 141-144.
Uda, et al., “High Performance GaAs Switch IC's Fabricated Using MESFETs with Two Kinds of Pinch Off Voltages and a Symmetrical Pattern Configuration”, IEEE Journal of Solid-State Circuits, 1994, pp. 1262-1269.
Lee, et al., “Analysis of Body Bias Effect with PD-SOI for Analog and RF Application”, Solid State Electron, vol. 46, 2002, pp. 1169-1176.
Ippoushi, “SOI Structure Avoids Increases in Chip Area and Parasitic Capacitance Enables Operational Control of Transistor Threshold Voltage”, Renesas Edge, vol. 2004.5, Jul. 2004, p. 15.
Park, “A Regulated, Charge Pump CMOS DC/DC Converter for Low Power Application”, 1998, pp. 1-62.
Hittite Microwave, Floating Ground SPNT MMIC Switch Driver Techniques, 2001.
Caverly, et al., “Gallium Nitride-Based Microwave and RF Control Devices”, 2001.
Sedra, et al., “Microelectronic Circuits”, University of Toronto, Oxford University Press, Fourth Edition, 1982,1987,1991,1998, pp. 374-375.
Bahl, “Lumped Elements for RF and Microwave Circuits”, Artech House, 2003, pp. 353-394.
“Positive Bias GaAs Multi-Throw Switches with Integrated TTL Decoders”, Hittite Microwave, 2000.
Hiramoto, Toshiro, et al., “Low Power and Low Voltage MOSFETs with Variable Threshold Voltage Controlled by Back-Bias”, IEICE Trans. Electron, vol. E83-C, No. 2, Feb. 2000, pp. 161-169.
Drozdovsky, et al., “Large Signal Modeling of Microwave Gallium Nitride Based HFETs”, Asia Pacific Microwave Conference, 2001, pp. 248-251.
Ayasli, “Microwave Switching with GaAs FETs”, Microwave Journal, 1982, pp. 719-723.
Eron, “Small and Large Signal Analysis of MESETs as Switches” Microwave Journal, 1992.
“A Voltage Regulator for GaAs FETs”, Microwave Journal, 1995.
Slobodnik, et al., “Millimeter Wave GaAs Switch FET Modeling”, Microwave Journal, 1989.
Caverly, “Distortion in GaAs MESFET Switch Circuits”, 1994.
Chen, et al., “Dual-Gate GaAs FET: A Versatile Circuit Component for MMICs”, Microwave Journal, Jun. 1989, pp. 125-135.
Bullock, “Transceiver and System Design for Digital Communication”, Noble, 2000.
Crols, “CMOS Wireless Transceiver Design”, Kluwer Academic, 1997.
Hickman, “Practical RF Handbook”, Newnes, 1997.
Hagen, “Radio Frequency Electronics”, Cambridge University Press, 1996.
Koh, et al., “Low-Voltage SOI CMOS VLSI Devices and Circuits”, Wiley Interscience, XP001090589, New York, 2001, pp. 57-60, 349-354.
Leenaerts, “Circuits Design for RF Transceivers” Kluwer Academic, 2001.
Johnson, “Advanced High-Frequency Radio Communication”, Artech House, 1997.
Larson, “RF and Microwave Circuit Design for Wireless Communications”, Artech House, 1996.
Misra, “Radio Frequency and Microwave Communication Circuits”, Wiley, 2001.
Copenheaver, Brian, International Search Report and Written Opinion for related appln. No. PCT/US2009/001358 dated May 27, 2009, 11 pages.
Peregrine Semiconductor Corporation, Article 19 Amendment Letter Under Section 205(b) and Rule 46.5 (b) PCT filed in WIPO for related appln. No. PCT/US2009/001358, dated Aug. 11, 2009, 12 pages.
Kao, W.H., et al., “Parasitic extraction: current state of the art and future trends”, Proceedings of the IEEE, May 2001, vol. 89, Issue 5, pp. 729-739.
Brambilla, A., et al., “Measurements and extractions of parasitic capacitances in ULSI layouts”, Electron Devices, IEEE Transactions, Nov. 2003, vol. 50, Issue 11, pp. 2236-2247.
Xu, et al., “An efficient formulation for substrate parasitic extraction accounting for nonuniform current distribution”, Circuits and Systems I: Regular papers, IEEE Transactions, Jun. 2004, vol. 51, Issue 6, pp. 1223-1233.
Nabors, et al., “FastCap: A Multipole Accelerated 3-D Capacitance Extraction Program”, IEEE Transactions on Computer-Aided Design, vol. 10, No. 11, Nov. 1991, pp. 1447-1459.
Nabors, et al., “Fast Capacitance Extraction of General Three-Dimensional Structures”, IEEE Transactions on Microwave Theory and Techniques, vol. 40, No. 7, Jul. 1992, pp. 1496-1506.
Nabors, et al., “Multipole-Accelerated Capacitance Extraction Algorithms for 3-D Structures with Multiple Dielectrics” IEEE Transactions on Circuit and Systems, 1: Fundamental Theory and Applications, vol. 39, No. 11, Nov. 1992, pp. 946-954.
Tausch, et al., “Capacitance Extraction of 3-D Conductor Systems in Dielectric Media with High-Permittivity Ratios”, IEEE Transactions on Microwave Theory and Techniques, vol. 47, No. 1, Jan. 1999, pp. 18-26.
Nabors, et al., “A Fast Multipole Algorithm for Capacitance Extraction of Complex 3-D Geometries”, IEEE 1989 Custom Integrated Circuits Conference, May 1989, pp. 21.7.1-21.7.4.
Nabors, et al., “Fast Capacitance Extraction of General Three-Dimensional Structures”, Proc. Int. Conf. on Computer Design, Cambridge, MA, Oct. 1991, pp. 479-484.
Nabors, et al., “Including Conformal Dielectrics in Multipole-Accelerated Three-Dimensional Interconnect Capacitance Extraction”, proceedings of Nupad IV, Seattle, WA, May 1992, 2 pgs.
Nabors, et al. “Multipole-Accelerated 3-D Capacitance Extraction Algorithms for Structures with Conformal Dielectrics”, Proceeding of the 29th Design Automation Conference, Anaheim, CA, Jun. 1992, pp. 710-715.
Phillips, et al., “A Precorrected-FFT method for Capacitance Extraction of Complicated 3-D Structures”, Int. Conf. On Computer-Aided Design, Santa Clara, CA, Nov. 1994, 4 pgs.
Phillips, et al., “Efficient Capacitance Extraction of 3D Structures Using Generalized Pre-Corrected FFT Methods”, Proceedings of the IEEE 3rd Tropical Meeting on Electrical Performance of Electronic Packaging, Monterey, CA, Nov. 1994, 3 pgs.
Cai, et al., “Efficient Galerkin Techniques for Multipole-Accelerated Capacitance Extraction of 3-D Structures with Multiple Dielectrics” Proceedings of the 16th Conference on Advanced Research in VLSI, Chapel Hill, North Carolina, Mar. 1995, 12 pages.
Kamon, et al., “FastPep: A Fast Parasitic Extraction Program for Complex Three-Dimensional Geometries”, Proceedings of the IEEE Conference on Computer-Aided Design, San Jose, Nov. 1997, pp. 456-460.
Young, Lee W., International Search Report received from USRO for related appln. No. PCT/US2007/10331 dated Feb. 15, 2008, 14 pages.
Englekirk, Robert, Preliminary Amendment filed in the USPTO dated Sep. 11, 2009 for related U.S. Appl. No. 11/796,522, 9 pgs.
Patel, Reema, Office Action received from the USPTO dated Oct. 2, 2009 for related U.S. Appl. No. 11/796,522, 6 pgs.
Englekirk, Robert, Response filed in the USPTO dated Nov. 2, 2009 for related U.S. Appl. No. 11/796,522, 3 pgs.
Shifrin, M., “Monolithic FET Structures for High-Power Control Components Applications”, IEEE Transactions on Microwave Theory and Techniques, IEEE Service Center, Piscataway, NJ, US., vol. 37, No. 12, Dec. 1, 1989, pp. 2134-2141.
Shifrin, M., et al., “High Power Control Components using a New Monolithic Fet Structure”, Jun. 12-13, 1989, pp. 51-56, XP010087279.
Volker, Simon, Communication from the EPO dated Dec. 3, 2009 for related appln. No. 09174085.2-1233, 6 pgs.
European Patent Office, Communication Pursuant to Rules 161 and 162 EPC dated Dec. 10, 2009 for related appln. No. 07794407.2, 2 pgs.
Volker, Simon, European Search Report received from the EPO dated Mar. 12, 2010 for related appln. 07794407.2, 8 pgs.
Patel, Reema, Office Action received from the USPTO dated Mar. 2, 2010 for related U.S. Appl. No. 11/796,522, 8 pgs.
Englekirk, Robert, Amendment filed in the USPTO dated Jun. 2, 2010 for related U.S. Appl. No. 11/796,522, 10 pgs.
Volker, Simon, Communication Pursuant to Article 94(3) EPC received from the EPO dated May 4, 2010 for related appln. No. 09174085.2, 1 pgs.
Volker, Simon, Communication Pursuant to Article 94(3) EPC received from the EPO dated Jun. 15, 2010 for related appln. No. 07794407.2, 1 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO for related appln. No. 07794407.2 dated Oct. 20, 2010, 13 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO for related appln. No. 09174085.2 dated Oct. 20, 2010, 14 pgs.
Patel, Reema, Office Action received from the USPTO dated Aug. 30, 2010 for related U.S. Appl. No. 11/796,522, 15 pgs.
Englekirk, Robert, Response filed in the USPTO dated Dec. 30, 2010 for related U.S. Appl. No. 11/796,522, 17 pgs.
Novak, Rodd, “Overcoming the RF Challenges of Multiband Mobile Handset Design”, RF/Microwave Switches and Connectors, published Jul. 20, 2007, www.rfdesign.com, 3 pgs.
Qiao, et al., “Antenna Impedance Mismatch Measurement and Correction for Adaptive CDMA Transceivers”, published Jun. 12-17, 2005, by the IEEE in the 2005 Microwave Symposium Digest, 2005 IEEE MTT-S International, pp. 4, et seq.
Sjoblom, Peter, “An Adaptive Impedance Tuning CMOS Circuit for ISM 2.4-GHz Band”, published in the IEEE Transactions on Circuits and Systems—1: Regular Papers, vol. 52, No. 6, pp. 1115-1124, Jun. 2005.
Sjoblom, Peter, “Measured CMOS Switched High-Quality Capacitors in a Reconfigurable Matching Network”, IEEE Transactions on Circuits and Systems—II: Express Briefs, vol. 54, No. 10, Oct. 2007, pp. 858-862.
Patel, Reema, Notice of Allowance received from the USPTO dated Jan. 28, 2011 for related U.S. Appl. No. 11/796,522, 9 pgs.
Le, Dinh Thanh, Office Action received from the USPTO dated Jun. 23, 2011 for related U.S. Appl. No. 12/803,064, 16 pgs.
Brosa, Anna-Maria, extended European Search Report received from the EPO dated Jul. 15, 2011 for related application No. 09715932.1, 12 pgs.
Dang, Hung Q., Office Action received from the USPTO dated Dec. 22, 2011 for related U.S. Appl. No. 12/735,954, 32 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated Feb. 10, 2012 for related appln. No. 09715932.1, 47 pgs.
Cole, Brandon S., Office Action received from the USPTO dated Feb. 24, 2012 for related U.S. Appl. No. 12/803,133, 36 pgs.
Ranta, Tero Tapio, Amendment filed in the USPTO dated Mar. 21, 2012 for related U.S. Appl. No. 12/735,954, 16 pgs.
Kurisu, Masakazu, Japanese Office Action and translation received from the JPO dated Apr. 17, 2012 for related appln. No. 2010-506156, 4 pgs.
Ranta, et al., Amendment filed in USPTO dated Apr. 30, 2012 for related U.S. Appl. No. 12/803,064, 16 pgs.
Wang, Chi-Chang, et al., “Efficiency Improvement in Charge Pump Circuits”, IEEE Journal of Solid-State Circuits, vol. 32, No. 6, Jun. 1997, pp. 852-860.
Yamamoto, Kazuya, et al., “A 2.2-V Operation, 2.4-GHz Single-Chip GaAs MMIC Transceiver for Wireless Applications”, IEEE Journal of Solid-State Circuits, vol. 34, No. 4, Apr. 1999, pp. 502-512.
Su, Pin, et al., “On the Body-Source Built-In Potential Lowering of SOI MOSFETs”, IEEE Electron Device Letters, vol. 24, No. 2, Feb. 2003, pp. 90-92.
Yang, Min, “Sub-100nm Vertical MOSFET's with Si1-x-y GexCy Source/Drains”, a dissertation presented to the faculty of Princeton University, Jun. 2000, 272 pgs.
Ytterdal, T., et al., “MOSFET Device Physics and Operation”, Device Modeling for Analog and RF CMOS Circuit Design, 2003 John Wiley & Sons, Ltd., 46 pgs.
Cherne, et al., U.S. Statutory Invention Registration No. H1435, published May 2, 1995.
Yamamoto, et al., “A Single-Chip GaAs RF Transceiver for 1.9GHz Digital Mobile Communication Systems”, IEEE Journal of Solid-State Circuits, 1996.
Yamamoto, et al., “A Single-Chip GaAs RF Transceiver for 1.9GHz Digital Mobile Communication Systems”, IEEE, 1996, pp. 1964-1973.
Tsutsumi, et al., “A Single Chip PHS Front End MMIC with a True Single +3 Voltage Supply”, IEEE Radio Frequency Integrated Circuits Symposium, 1998, pp. 105-108.
Wambacq, et al., “A Single Package Solution for Wireless Transceivers”, IEEE, 1999, pp. 1-5.
Eggert, et al., A SOI-RF-CMOS Technology on High Resistivity SIMOX Substrates for Microwave Applications to 5 GHz″, IEEE Transactions on Electron Devices, 1997, pp. 1981-1989.
Hu, et al., “A Unified Gate Oxide Reliability Model”, IEEE 37th Annual International Reliability Physic Symposium, 1999, pp. 47-51.
Szedon, et al., “Advanced Silicon Technology for Microwave Circuits”, Naval Research Laboratory, 1994, pp. 1-110.
Johnson, et al., “Advanced Thin Film Silicon-on-Sapphire Technology: Microwave Circuit Applications”, IEEE Transactions on Electron Devices, 1998, pp. 1047-1054.
Burgener, et al., Amendment filed in the USPTO dated Dec. 2005 relating to U.S. Appl. No. 10/922,135.
Burgener, et al., Amendment filed in the USPTO dated May 2008 relating to U.S. Appl. No. 11/582,206.
Kai, An English translation of an Office Action received from the Japanese Patent Office dated Jul. 2010 relating to appln. No. 2007-518298.
Burgener, et al., Amendment filed in the USPTO dated Apr. 2010 relating to U.S. Appl. No. 11/501,125.
Heller, et al., “Cascode Voltage Switch Logic: A Different CMOS Logic Family”, IEEE International Solid-State Circuits Conference, 1984, pp. 16-17.
Pylarinos, “Charge Pumps: An Overview”, Proceedings of the IEEE International Symposium on Circuits and Systems, 2003, pp. 1-7.
Doyama, “Class E Power Amplifier for Wireless Transceivers”, University of Toronto, 1999, pp. 1-9.
“CMOS Analog Switches”, Harris, 1999, pp. 1-9.
“CMOS SOI RF Switch Family”, Honeywell, 2002, pp. 1-4.
“CMOS SOI Technology”, Honeywell, 2001, pp. 1-7.
Burgener, “CMOS SOS Switches Offer Useful Features, High Integration”, Microwaves & RF, 2001, pp. 107-118.
Analog Devices, “CMOS, Low Voltage RF/Video, SPST Switch”, Analog Devices, inc., 1999, pp. 1-10.
Eggert, et al., “CMOS/SIMOX-RF-Frontend for 1.7GHz”, Solid State Circuits Conference, 1996.
Orndorff, et al., CMOS/SOS/LSI Switching Regulator Control Device, IEEE International, vol. XXI, Feb. 1978, pp. 234-235.
Burgener, et al., Comments on Examiners Statements of Reasons for Allowance filed in the USPTO dated Aug. 2004 relating to U.S. Appl. No. 10/267,531.
Aquilani, Communication and supplementary European Search Report dated Nov. 2009 relating to appln. No. 05763216.
Van Der Peet, Communications pursuant to Article 94(3) EPC received from the EPO dated Jun. 2008 relating to appln. No. 02800982.7-2220.
Aquilani, Communications pursuant to Article 94(3) EPC received from the EPO dated Mar. 2010 relating to appln. No. 05763216.8.
Weman, Communication under Rule 71(3) EPC and Annex Form 2004 received from the EPO dated Nov. 2009 relating to appln. No. 020800982.7.
Van Der Peet, Communications pursuant to Article 94(3) EPC dated Aug. 2009 relating to appln. No. 02800982.7-2220.
Yamamoto, et al., “Design and Experimental Results of a 2V-Operation Single-Chip GaAs T/R MMIC Front-End for 1.9GHz Personal Communications”, IEEE, 1998, pp. 7-12.
Savla, “Design and Simulation of a Low Power Bluetooth Transceiver”, The University of Wisconsin, 2001, pp. 1-90.
Henshaw, “Design of an RF Transceiver”, IEEE Colloquium on Analog Signal Processing, 1998.
Baker, et al., “Designing Nanosecond High Voltage Pulse Generators Using Power MOSFET's”, Electronic Letters, 1994, pp. 1634-1635.
Sudhama, et al., “Compact Modeling and Circuit Impact of a Novel Frequency Dependence of Capacitance in RF MOSFETs”, Nano Science and Technology Institute, Technical Proceedings of the 2001 Int'l Conference of Modeling and Simulation of Microsystems. 2001.
Casu, et al., “Comparative Analysis of PD-SOI Active Body-Biasing Circuits”, IEEE Int'l SOI Conference, Oct. 2000, pp. 94-95.
Cho, et al., “Comparative Assessment of Adaptive Body-Bias SOI Pass-Transistor Logic”, Fourth Int'l Symposium on Quality Electronic Design, Mar. 2003, pp. 55-60.
Chan, et al., “Comparative Study of Fully Depleted and Body-Grounded Non Fully Depleted SOI MOSFET's for High Performance Analog and Mixed Signal Circuits”, IEEE Transactions on Electron Devices, vol. 42, No. 11, Nov. 1995, pp. 1975-1981.
Tseng, et al. “Comprehensive Study on AC Characteristics in SOI MOSFETs for Analog Applications”, 1998 Symposium on VLSI Technology Digest of Technical Papers, Jun. 1998.
Pelella, et al., “Control of Off-State Current in Scaled PD/SOI CMOS Digital Circuits”, Proceedings IEEE Int'l SOI Conference, Oct. 1998, pp. 147-148.
Assaderaghi, “DTMOS: Its Derivatives and Variations, and Their Potential Applications”, The 12th Int'l Conference on Microelectronics, Nov. 2000, pp. 9-10.
Giffard, et al., “Dynamic Effects in SOI MOSFET's”, 1991 IEEE SOS/SOI Technology Conference, pp. 160-161.
Lindert, et al. “Dynamic Threshold Pass-Transistor Logic for Improved Delay at Lower Power Supply Voltages”, IEEE Journal of Solid-State Circuits, vol. 34, No. 1, Jan. 1999, pp. 85-89.
Drake, et al., “Dynamic-Threshold Logic for Low Power VLSI Design”, www.research.ibm.com/acas, 2001.
Assaderaghi, et al., “Dynamic Threshold-Voltage MOSFET (DTMOS) for Ultra-Low Voltage VLSI”, IEEE Transactions on Electron, vol. 44, No. 3, Mar. 1997, pp. 414-422.
Wei, et al., “Effect of Floating-Body Charge on SOI MOSFET Design”, IEEE Transaction on Electron Devices, vol. 45, No. 2, Feb. 1998.
Duyet, et al., “Effects of Body Reverse Pulse Bias on Geometric Component of Charge Pumping Current in FD SOI MOSFETs”, Proceedings IEEE Int'l SOI Conference, Oct. 1998, pp. 79-80.
Lee, et al., “Effects of Gate Structure on the RF Performance in PD SOI MOSFETs”, IEEE Microwave and Wireless Components Letters, vol. 15, No. 4, Apr. 2005.
Krishnan, “Efficacy of Body Ties Under Dynamic Switching Conditions in Partially Depleted SOI CMOS Technology”, Proceedings IEEE Int'l SOI Conference, Oct. 1997, pp. 140-141.
Lu, et al., “Floating Body Effects in Partially Depleted SOI CMOS Circuits”, ISPLED, Aug. 1996, pp. 1-6.
Ueda, et al., “Floating Body Effects on Propagation Delay in SOI/CMOS LSIs”, IEEE SOI Conference, Oct. 1996, pp. 142-143.
Matsumoto, et al., “Fully Depleted 30-V-Class Thin Film SOI Power MOSFET”, IEDM 95-979, 1995, pp. 38.6.1-38.6.4.
Lee, et al., “Harmonic Distortion Due to Narrow Width Effects in Deep Submicron SOI-CMOS Device for Analog-RF Applications”, IEEE Int'l SOI Conference, Oct. 2002, pp. 83-85.
Assaderaghi, et al., “History Dependence of Non-Fully Depleted (NFD) Digital SOI Circuits”, 1996 Symposium on VLSI Technology Digest of Technical Papers 13.1, 1996, pp. 122-123.
Damiano, et al., “Integrated Dynamic Body Contact for H Gate PD SOI MOSFETs for High Performance/Low Power”, IEEE SOI Conference, Oct. 2004, pp. 115-116.
Tat, International Search Report and Written Opinion received from USRO dated Jul. 3, 2008 for related appln. No. PCT/US06/36240.
Rauly, et al., Investigation of Single and Double Gate SOI MOSFETs in Accumulation Mode for Enhanced Performances and Reduced Technological Drawbacks, Proceedings 30th European Solid-State Device Research Conference, Sep. 2000, pp. 540-543.
Morishita, et al., “Leakage Mechanism Due to Floating Body and Countermeasure on Dynamic Retention Mode of SOI-DRAM”, 1995 Symposium on VLSI Technology Digest of Technical Papers, Apr. 1995, pp. 141-142.
Keys, “Low Distortion Mixers or RF Communications”, Ph.D. Thesis, University of California-Berkeley, 1995.
Suehle, et al., “Low Electric Field Breakdown of Thin Si02 Films Under Static and Dynamic Stress”, IEEE Transactions on Electron Devices, vol. 44, No. 5, May 1997.
Chen, et al., “Low Power, Multi-Gigabit DRAM Cell Design Issues Using SOI Technologies”, http://bwrc.eecs.berkeley.edu/people/grad—students/chenff/reports, May 1999.
Pelella, et al., “Low-Voltage Transient Bipolar Effect Induced by Dynamic Floating-Body Charging in Scaled PD/SOI MOSFET's”, IEEE Electron Device Letters, vol. 17, No. 5, May 1996.
Wei, “Measurement and Modeling of Transient Effects in Partially Depleted SOI MOSFETs”, M.S. Thesis, MIT, Jul. 1996.
Wei, et al., “Measurement of Transient Effects in SOI DRAM/SRAM Access Transistors”, IEEE Electron Device Letters, vol. 17, No. 5, May 1996.
Shoucair, “Modeling, Decoupling and Supression of MOSFET Distortion Components”, IEEE Proceeding Circuit Devices Systems, vol. 146, No. 1, Feb. 1999.
Tat, Notice of Allowance received from USPTO dated Sep. 16, 2010 for related U.S. Appl. No. 11/520,912.
Shingleton, Office Action received from USPTO dated Oct. 7, 2008 for related U.S. Appl. No. 11/881,816.
Tat, Office Action received from USPTO dated Dec. 10, 2009 for related U.S. Appl. No. 11/520,912.
Shingleton, Office Action received from USPTO dated Jan. 19, 2010 for related U.S. Appl. No. 11/881,816.
Tat, Office Action received from USPTO dated Jul. 8, 2009 for related U.S. Appl. No. 11/520,912.
Tat, Office Action received from USPTO dated Sep. 15, 2008 for related U.S. Appl. No. 11/520,912.
Shahidi, et al., “Partially Depleted SOI Technology for Digital Logic”, IEEE Int'l Solid-State Circuits Conference, 1999, pp. 426-427.
Stuber, et al., Photocopy of an amendment that was filed with the USPTO dated Mar. 16, 2009 for related U.S. Appl. No. 11/520,912.
Stuber, et al., Photocopy of an amendment that was filed with the USPTO dated Sep. 8, 2009 for related U.S. Appl. No. 11/520,912.
Photocopy of a translation of an Office Action dated Jul. 31, 2009 for related Chinese appln. No. 200680025128.7.
Hameau, et al., “Radio-Frequency Circuits in Integration Using CMOS SOI 0.25um Technology”, 2002 RF IC Design Workshop Europe, Mar. 2002, Grenoble, France.
Dribinsky, Response file in USPTO date Aug. 28, 2009 to related U.S. Appl. No. 11/881,816.
Matloubian, “Smart Body Contact for SOI MOSFETs”, 1989 IEEE SOS/SOI Technology Conference, Oct. 1999, pp. 128-129.
Chuang, et al., “SOI for Digital CMOS VLSI Design: Design Consideration and Advances”, Proceedings of the IEEE, vol. 86, No. 4, Apr. 1998, pp. 689-720.
Chung, et al., “SOI MOSFET Structure with a Junction Type Body Contact for Suppression of Pass Gate Leakage”, IEEE Transactions on Elelctron Devices, vol. 48, No. 7, Jul. 2001.
Rozeau, et al., “SOI Technologies Overview for Low-Power Low-Voltage Radio-Frequency Aplications”, Analog Integrated Circuits and Signal Processing, 25, Kluwer Academic Publishers, Nov. 2000, pp. 93-114.
Kuge, et al., “SOI-DRAM Circuit Technologies for Low Power High Speed Multigiga Scale Memories”, IEEE Journal of Solid-State Circuits, vol. 31, No. 4, Apr. 1996, pp. 586-591.
Kuang, et al., “SRAM Bitline Circuits on PD SOI: Advantages and Concerns”, IEEE Journal of Solid-State Circuits, vol. 32, No. 6, Jul. 1997.
Maeda, et al., “Substrate-Bias Effect and Source-Drain Breakdown Characteristics in Body-Tied Short-Channel SOI MOSFET's”, IEEE Transactions on Electron Devices, vol. 46, No. 1, Jan. 1999, pp. 151-158.
Morena, Supplementary European Search Report dated Feb. 17, 2010 relating to appln. No. 06814836.0.
Duyet, et al., “Suppression of Geometric Component of Charge Pumping Current in Thin Film Silicon on Insulator Metal-Oxide-Semiconductor Field-Effect Transistors”, Japanese Journal of Applied Physics, vol. 37, Jul. 1998, pp. L855-L858.
Casu, et al., “Synthesis of Low-Leakage PD-SOI Circuits with Body Biasing”, Int'l Symposium on Low Power Electronics and Design, Aug. 2001, pp. 287-290.
Edwards, et al., “The Effect of Body Contact Series Resistance on SOI CMOS Amplifier Stages”, IEEE Transactions on Electron Devices, vol. 44, No. 12, Dec. 1997, pp. 2290-2294.
Wang, et al., “Threshold Voltage Instability at Low Temperatures in Partially Depleted Thin Film SOI MOSFET's”, 1990 IEEE SOS/SOI Technology Conference, Oct. 1990, pp. 91-92.
Shimomura, et al., “TP 4.3: A 1V 46ns 16Mb SOI-DRAM with Body Control Technique”, 1997 IEEE Int'l Solid-State Circuits Conference, Feb. 1997.
Sleight, et al., “Transient Measurements of SOI Body Contact Effectiveness”, IEEE Electron Device Letters, vol. 19, No. 12, Dec. 1998.
Assaderaghi, et al, “Transient Pass-Transistor Leakage Current in SOI MOSFET's”, IEEE Electron Device Letters, vol. 18, No. 6, Jun. 1997, pp. 241-243.
Brindle, et al., Translation of a response filed with the Chinese Patent Office dated Nov. 30, 2009 relating to appln. No. 200680025128.7.
Mashiko, et al., “Ultra-Low Power Operation of Partially-Depleted SOI/CMOS Integrated Circuits”, IEICE Transactions on Electronic Voltage, No. 11, Nov. 2000, pp. 1697-1704.
Das, et al., “Ultra-Low-Leakage Power Strategies for Sub-1 V VLSI: Novel Circuit Styles and Design Methodologies for Partially Depleted Silicon-on-Insulator (PD-SOI) CMOS Technology”, Proceedings of the 16th Int'l Conference on VLSI Design, 2003.
Pelloie, et al., “WP 25.2: SOI Technology Performance and Modeling”, 1999 IEEE Int'l Solid-State Circuits Conference, Feb. 1999.
Goldman, et al., “0.15um SOI DRAM Technology Incorporating Sub-Volt Dynamic Threshold Devices for Embedded Mixed-Signal & RF Circuits”, 2001 IEEE SOI Conference, Oct. 2001, pp. 97-98.
Hirota, et a., “0.5V 320MHz 8b Multiplexer/Demultiplexer Chips Based on a Gate Array with Regular-Structured DTMOS/SOI”, ISSCC, Feb. 1998, pp. 12.2-1-12.2-11.
Fuse, et al., “0.5V SOI CMOS Pass-Gate Logic”, 1996 IEEE Int'l Solid-State Circuits Conference, Feb. 1996, pp. 88-89,424.
Brindle, et al., Response filed in the EPO for related appln. No. 06814836.0-1235 dated Oct. 12, 2010.
Ranta, et al., Response filed in the USPTO dated May 23, 2012 for related U.S. Appl. No. 12/803,133, 7 pgs.
Patel, Reema, Notice of Allowance received from the USPTO dated May 24, 2012 for related U.S. Appl. No. 13/046,560, 15 pgs.
Dang, Hung Q., Notice of Allowance received from the USPTO dated Jul. 12, 2012 for related U.S. Appl. No. 12/735,954, 20 pgs.
Le, Dinh Thanh, Office Action received from the USPTO dated Dec. 1, 2011 for related U.S. Appl. No. 12/803,064, 23 pgs.
Patel, Reema, Office Action received from the USPTO dated Dec. 5, 2011 for related U.S. Appl. No. 13/046,560, 13 pgs.
Englekirk, Robert Mark, Amendment filed in the USPTO dated Mar. 5, 2012 for related U.S. Appl. No. 13/046,560, 4 pgs.
Cole, Brandon S., Notice of Allowance received from the USPTO dated Jun. 8, 2012 for related U.S. Appl. No. 12/803,133, 12 pgs.
Le, Dinh Thanh, Office Action received from the USPTO dated Jun. 13, 2012 for related U.S. Appl. No. 12/803,064, 14 pgs.
Theunissen, Lars, Communication under Rule 71(3) EPC dated Jul. 2, 2012 for related appln. No. 09715932.1, 98 pgs.
Ranta, et al., Comments on Examiners Statement of Reasons for Allowance filed in the USPTO dated Sep. 10, 2012 for related U.S. Appl. No. 12/803,133, 3 pgs.
Ranta, et al., Amendment filed in the USPTO dated Sep. 12, 2012 for related U.S. Appl. No. 12/803,064, 13 pgs.
Gu, et al., “Low Insertion Loss and High Linearity PHEMT SPDT and SP3T Switch Ics for WLAN 802.11a/b/g Application”, 2004 IEEE Radio Frequency Integrated Circuits Symposium, 2004, pp. 505-508.
Koudymov, et al., “Low Loss High Power RF Switching Using Multifinger AIGaN/GaN MOSHFETs”, University of South Carolina Scholar Commons, 2002, pp. 449-451.
Abidi, “Low Power Radio Frequency IC's for Portable Communications”, IEEE, 1995, pp. 544-569.
Kuo, et al., “Low Voltage SOI CMOS VLSI Devices and Circuits”, Wiley, 2001, pp. 57-60, 349-354.
Wei, et al., “Measuremenets of Transient Effects in SOI DRAM/SRAM Access Transistors”, IEEE Electron Device Letters, vol. 17, No. 5, May 1996.
De La Houssaye, et al., “Microwave Performance of Optically Fabricated T-Gate Thin Film Silicon on Sapphire Based MOSFET's”, IEEE Electron Device Letters, 1995, pp. 289-292.
Shifrin, et al., “Monolithic FET Structure for HighPower Control Component Applications”, IEEE Transactions on Microwave Theory and Techniques, 1989, pp. 2134-2142.
Smuk, et al., “Monolithic GaAs Multi-Throw Switches with Integrated Low Power Decoder/Driver Logic”, 1997, IEEE Radio Frequency Integrated Circuits.
McGrath, et al., “Multi Gate FET Power Switches”, Applied Microwave, 1991, pp. 77-88.
Smuk, et al., “Multi-Throw Plastic MMIC Switches up to 6GHz with Integrated Positive Control Logic”, IEEE, 1999, pp. 259-262.
Razavi, “Next Generation RF Circuits and Systems”, IEEE, 1997, pp. 270-282.
Gould, et al., “NMOS SPDT Switch MMIC with >48dB Isolation and 30dBm IIP3 for Applications within GSM and UMTS Bands”, Bell Labs, 2001, pp. 1-4.
Caverly, “Nonlinear Properties of Gallium Arsenide and Silicon FET-Based RF and Microwave Switches”, IEEE, 1998, pp. 1-4.
Tieu, Notice of Allowance and Fee(s) Due from the USPTO dated May 2004 relating to U.S. Appl. No. 10/267,531.
Tieu, Notice of Allowance and Fee(s) Due from the USPTO dated Jul. 2008 relating to U.S. Appl. No. 11/582,206.
Tieu, Notice of Allowance and Fee(s) Due from the USPTO dated Jun. 2006 relating to U.S. Appl. No. 10/922,135.
Tran, Notice of Allowance and Fee(s) Due from the USPTO dated Jun. 2010 relating to U.S. Appl. No. 11/501,125.
Tieu, Notice of Allowance and Fee(s) Due from the USPTO dated Apr. 2010 relating to U.S. Appl. No. 11/347,014.
Tieu, Notice of Allowance and Fee(s) Due from the USPTO dated Dec. 2008 relating to U.S. Appl. No. 11/127,520.
Luu, Notice of Allowance and Fee(s) Due from the USPTO dated Jul. 2009 relating to U.S. Appl. No. 11/351,342.
Miyajima, Notice of Reasons for Refusal from the Japanese Patent Office dated Feb. 2006 relating to appln. No. 2003-535287.
McGrath, et al., “Novel High Performance SPDT Power Switches Using Multi-Gate FET's”, IEEE, 1991, pp. 839-842.
Tieu, Office Action from the USPTO dated Nov. 2007 relating to U.S. Appl. No. 11/582,206.
Tieu, Office Action from the USPTO dated Jun. 2005 relating to U.S. Appl. No. 10/922,135.
Tieu, Notice of Allowance from the USPTO dated Jun. 2006 relating to U.S. Appl. No. 10/922,135.
Chow, Office Action from the USPTO dated Apr. 2010 relating to U.S. Appl. No. 11/347,671.
Tieu, Office Action from the USPTO dated Sep. 2009 relating to U.S. Appl. No. 11/347,014.
Luu, Office Action from the USPTO dated Oct. 2008 relating to U.S. Appl. No. 11/351,342.
Chow, Office Action from the USPTO dated Aug. 2010 relating to U.S. Appl. No. 11/347,671.
Suematsu, “On-Chip Matching Si-MMIC for Mobile Communication Terminal Application”, IEEE, 1997, pp. 9-12.
Caverly, et al., “On-State Distortion in High Electron Mobility Transistor Microwave and RF Switch Control Circuits”, IEEE Transactions on Microwave Theory and Techniques, 2000, pp. 98-103.
Kelly, Proposed Amendment After Final from the USPTO dated Jun. 2009 relating to U.S. Appl. No. 11/351,342.
“Radiation Hardened CMOS Dual DPST Analog Switch”, Intersil, 1999, pp. 1-2.
Huang, et al., “TFSOI Can It Meet the Challenge of Single Chip Portable Wireless Systems”, IEEE International SOI Conference, 1997, pp. 1-3.
Devlin, “The Design of Integrated Switches and Phase Shifters”, 1999.
Hess, et al., “Transformerless Capacitive Coupling of Gate Signals for Series Operation of Power MOS Devices”, IEEE, 1999, pp. 673-675.
“uPG13xG Series L-Band SPDT Switch GaAs MMIC”, NEC, 1996, pp. 1-30.
Reedy, et al., “UTSi CMOS: A Complete RF SOI Solution”, Peregrine Semiconductor, 2001, pp. 1-6.
Hittite Microwave, “Wireless Symposium 2000 is Stage for New Product Introductions”, Hittite Microwave, 2000, pp. 1-8.
Montoriol, et al., “3.6V and 4.8V GSM/DCS1800 Dual Band PA Application with DECT Capability Using Standard Motorola RFICs”, 2000, pp. 1-20.
Wang, et al., “Efficiency Improvement in Charge Pump Circuits”, IEEE Journal of Solid-State Circuits, vol. 32, No. 6, Jun. 1997, pp. 852-860.
Minoli, “Telecommunications Technology Handbook”, Artech House, 2003.
Morreale, “The CRC Handbook of Modern Telecommunication”, CRC Press, 2001.
Sayre, “Complete Wireless Design”, McGraw-Hill, 2001.
Schaper, “Communications, Computations, Control, and Signal Processing”, Kluwer Academic, 1997.
Shafi, “Wireless Communications in the 21st Century”, Wiley, 2002.
Willert-Porata, M, Advanced in Microwave and Radio Frequency Processing, 8th International Conference on Microwave and High-Frequency Heating, Oct. 2009.
Newman, “Radiation Hardened Power Electronics”, Intersil Corporation, 1999, pp. 1-4.
Kelly, Response and Terminal Disclaimer filed in the USPTO dated Mar. 2010 relating to U.S. Appl. No. 11/347,014.
Burgener, et al., Response filed in the USPTO dated May 2006 relating to U.S. Appl. No. 10/922,135.
Kelly, Response to Office Action mailed to USPTO relating to U.S. Appl. No. 11/351,342 dated Jan. 30, 2009.
“RF & Microwave Device Overview 2003—Silicon and GaAs Semiconductors”, NEC, 2003.
“RF Amplifier Design Using HFA3046, HFA3096, HFA3127, HFA3128 Transistor Arrays”, Intersil Corporation, 1996, pp. 1-4.
“SA630 Single Pole Double Throw (SPDT) Switch”, Philips Semiconductors, 1997.
Narendra, et al., “Scaling of Stack Effects and its Application for Leakage Reduction”, ISLPED 2001, 2001, pp. 195-200.
Huang, “Schottky Clamped MOS Transistors for Wireless CMOS Radio Frequency Switch Application”, University of Florida, 2001, pp. 1-167.
Botto, et al., “Series Connected Soft Switched IGBTs for High Power, High Voltage Drives Applications: Experimental Results”, IEEE, 1997, pp. 3-7.
Baker, et al., “Series Operation of Power MOSFETs for High Speed Voltage Switching Applications”, American Institute of Physics, 1993, pp. 1655-1656.
Lovelace, et al., “Silicon MOSFET Technology for RF ICs”, IEEE, 1995, pp. 1238-1241.
Rodgers, et al., “Silicon UTSi CMOS RFIC for CDMA Wireless Communications System”, IEEE MTT-S Digest, 1999, pp. 485-488.
“Silicon Wave SiW1502 Radio Modem IC”, Silicon Wave, 2000, pp. 1-21.
Johnson, et al., “Silicon-On-Sapphire MOSFET Transmit/Receive Switch for L and S Band Transceiver Applications”, Electronic Letters, 1997, pp. 1324-1326.
Reedy, et al., “Single Chip Wireless Systems Using SOI”, IEEE International SOI Conference, 1999, pp. 8-11.
Stuber, et al., “SOI CMOS with High Performance Passive Components for Analog, RF and Mixed Signal Designs”, IEEE International SOI Conference, 1998, pp. 99-100.
Chung, et al., “SOI MOSFET Structure with a Junction Type Body Contact for Suppression of Pass Gate Leakage”, IEEE Transactions on Electron Devices, vol. 48, No. 7, Jul. 2001.
Rozeau, “SOI Technologies Overview for Low Power Low Voltage Radio Frequency Applications”, Analog Integrated Circuits and Signal Processing, Nov. 2000, pp. 93-114.
Fukuda, et al., “SOI CMOS Device Technology”, Special Edition on 21st Century Solutions, 2001, pp. 54-57.
Fukuda, et al., “SOI CMOS Device Technology”, OKI Technical Review, 2001, pp. 54-57.
Kusunoki, et al., “SPDT Switch MMIC Using E/D Mode GaAs JFETs for Personal Communications”, IEEE GaAs IC Symposium, 1992, pp. 135-138.
Caverly, et al., “SPICE Modeling of Microwave and RF Control Diodes”, IEEE, 2000, pp. 28-31.
Kuang, et al., “SRAM Bitline Circuits on PD SOI: Advantages and Concerns”, IEEE Journal of Solid State Circuits, vol. 32, No. 6, Jun. 1997.
Baker, et al., “Stacking Power MOSFETs for Use in High Speed Instrumentation”, American Institute of Physics, 1992, pp. 5799-5801.
Sanders, “Statistical Modeling of SOI Devices for the Low Power Electronics Program”, AET, Inc., 1995, pp. 1-109.
Maeda, et al., “Substrate Bias Effect and Source Drain Breakdown Characteristics in Body Tied Short Channel SOI MOSFETs”, IEEE Transactions on Electron Devices, vol. 46, No. 1, Jan. 1999, pp. 151-158.
Makioka, et al., “Super Self Aligned GaAs RF Switch IC with 0.25dB Extremely Low Insertion Loss for Mobile Communication Systems”, IEEE Transactions on Electron Devices, vol. 48, No. 8, Aug. 2001, pp. 1510-1514.
Karandikar, et al., “Technology Mapping for SOI Domino Logic Incorporating Solutions for the Parasitic Bipolar Effect”, ACM, 2001, pp. 1-14.
Numata, et al., “A +2.4/0 V Controlled High Power GaAs SPDT Antenna Switch IC for GSM Application”, IEEE Radio Frequency Integrated Circuits Symposium, 2002, pp. 141-144.
Huang, et al., “A 0.5-um CMOS T/R Switch for 900-MHz Wireless Applications”, IEEE Journal of Solid-State Circuits, 2001, pp. 486-492.
Tinella, et al., “A 0.7dB Insertion Loss CMOS—SOI Antenna Switch with More than 50dB Isolation over the 2.5 to 5GHz Band”, Proceeding of the 28th European Solid-State Circuits Conference, 2002, pp. 483-486.
Ohnakado, et al., “A 1.4dB Insertion Loss, 5GHz Transmit/Receive Switch Utilizing Novel Depletion-Layer Extended Transistors (DETs) in 0.18um CMOS Process”, Symposium on VLSI Circuits Digest of Technical Papers, 2002, pp. 162-163.
Nakayama, et al., “A 1.9 GHz Single-Chip RF Front-End GaAs MMIC with Low-Distortion Cascade FET Mixer for Personal Handy-Phone System Terminals”, IEEE, 1998, pp. 101-104.
McGrath, et al., “A 1.9-GHz GaAs Chip Set for the Personal Handyphone System”, IEEE Transaction on Microwave Theory and Techniques, 1995, pp. 1733-1744.
Nakayama, et al., “A 1.9GHz Single-Chip RF Front End GaAs MMIC for Personal Communications”, Microwave and Millimeter-Wave Monolithic Circuits Symposium, 1996, pp. 69-72.
Nakayama, et al., “A 1.9GHz Single-Chip RF Front End GaAs MMIC with Low-Distortion Cascode FET Mixer for Personal Handy-Phone System Terminals”, Radio Frequency Integrated Circuits Symposium, 1998, pp. 205-208.
Gu, et al., “A 2.3V PHEMT Power SP3T Antenna Switch IC for GSM Handsets”, IEEE GaAs Digest, 2003, pp. 48-51.
Darabi, et al., “A 2.4GHz CMOS Transceiver for Bluetooth”, IEEE, 2001, pp. 89-92.
Huang, et al., “A 2.4-GHz Single-Pole Double Throw T/R Switch with 0.8-dB Insertion Loss Implemented in a CMOS Process”, Silicon Microwave Integrated Circuits and Systems Research, 2001, pp. 1-4.
Huang, et al., “A 2.4-GHz Single-Pole Double Throw T/R Switch with 0.8-dB Insertion Loss Implemented in a CMOS Process (slides)”, Silicon Microwave Integrated Circuits and Systems Research, 2001, pp. 1-16.
Yamamoto, et al., “A 2.4GHz Band 1.8V Operation Single Chip Si-CMOS T/R MMIC Front End with a Low Insertion Loss Switch”, IEEE Journal of Solid-State Circuits, vol. 36, No. 8, Aug. 2001, pp. 1186-1197.
Kawakyu, et al., “A 2-V Operation Resonant Type T/R Switch with Low Distortion Characteristics for 1.9GHz PHS”, IEICE Trans Electron, vol. E81-C, No. 6, Jun. 1998, pp. 862-867.
Huang, et al., “A 900-MHz T/R Switch with a 0.8-dB Insertion Loss Implemented in a 0.5-um CMOS Process”, IEEE Custom Integrated Circuits Conference, 2000, pp. 341-344.
Workman, et al., “A Comparative Analysis of the Dynamic Behavior of BTG/SOI MOSFET's and Circuite with Distributed Body Resistance”, IEEE Transactions and Electron Devices, vol. 45, No. 10, Oct. 1998, pp. 2138-2145.
Valeri, et al., “A Composite High Voltage Device Using Low Voltage SOI MOSFET's”, IEEE, 1990, pp. 169-170.
Miyatsuji, et al., “A GaAs High Power RF Single Pole Double Throw Switch IC for Digital Mobile Communication System”, IEEE International Solid-State Circuits Conference, 1994, pp. 34-35.
Miyatsuji, et al., “A GaAs High Power RF Single Pole Dual Throw Switch IC for Digital Mobile Communication System”, IEEE Journal of Solid-State Circuits, 1995, pp. 979-983.
Puechberty, et al., “A GaAs Power Chip Set for 3V Cellular Communications”, 1994.
Yamamoto, et al., “A GaAs RF Transceiver IC for 1.9GHz Digital Mobile Communication Systems”, ISSCC96, 1996, pp. 340-341, 469.
Choumei, et al., “A High Efficiency, 2V Single Supply Voltage Operation RF Front End MMIC for 1.9GHz Personal Handy Phone Systems”, IEEE, 1998, pp. 73-76.
Schindler, et al., “A High Power 2-18 GHz T/R Switch”, IEEE Microwave and Millimeter-Wave Monolithic Circuits Symposium, 1990, pp. 119-122.
Gu, et al., “A High Power DPDT MMIC Switch for Broadband Wireless Applications”, IEEE MTT-S Digest, 2003, pp. 173-176.
Tinella, et al., “A High Performance CMOS-SOI Antenna Switch for the 2.5-5-GHz Band”, IEEE Journal of Solid-State Circuits, 2003, pp. 1279-1283.
Gu, et al., “A High Performance GaAs SP3T Switch for Digital Cellular Systems”, IEEE MTT-S Digest, 2001, pp. 241-244.
Numata, et al., “A High Power Handling GSM Switch IC with New Adaptive Control Voltage Generator Circuit Scheme”, IEEE Radio Frequency Integrated Circuits Symposium, 2003, pp. 233-236.
Madihian, et al., “A High Speed Resonance Type FET Transceiver Switch for Millimeter Wave Band Wireless Networks”, 26th EuMC, 1996, pp. 941-944.
Tokumitsu, et al., “A Low Voltage High Power T/R Switch MMIC Using LC Resonators”, IEEE Transactions on Microwave Theory and Techniques, 1995, pp. 997-1003.
Colinge, et al., “A Low Voltage Low Power Microwave SOI MOSFET”, IEEE International SOI Conference, 1996, pp. 128-129.
Johnson, et al., “A Model for Leakage Control by MOS Transistor Stacking”, ECE Technical Papers, 1997, pp. 1-28.
Matsumoto, et al., “A Novel High Frequency Quasi-SOI Power MOSFET for Multi-Gigahertz Application”, IEEE, 1998, pp. 945-948.
Giugni, “A Novel Multi-Port Microwave/Millimeter-Wave Switching Circuit”, Microwave Conference, 2000.
Caverly, “A Project Oriented Undergraduate CMOS Analog Microelectronic System Design Course”, IEEE, 1997, pp. 87-88.
Harjani, et al., “A Prototype Framework for Knowledge Based Analog Circuit Synthesis”, IEEE Design Automation Conference, 1987, pp. 42-49.
DeRossi, et al., “A Routing Switch Based on a Silicon-on-Insulator Mode Mixer”, IEEE Photonics Technology Letters, 1999, pp. 194-196.
Kanda, et al., “A Si RF Switch MMIC for the Cellular Frequency Band Using SOI-CMOS Technology”, The Institute of Electronics, Information and Communication Engineers, 2000, pp. 79-83.
Caverly, et al., “A Silicon CMOS Monolithic RF and Microwave Switching Element”, 27th European Microwave Conference, 1997, pp. 1046-1051.
Valeri, et al., “A Silicon-on-Insulator Circuit for High Temperature, High-Voltage Applications”, IEEE, 1991, pp. 60-61.
Caverly, “Development of a CMOS Cell Library for RF Wireless and Telecommunications Applications”, VLSI Symposium, 1998.
Caverly, “Distortion Properties of Gallium Arsenide and Silicon RF and Microwave Switches”, IEEE, 1997, pp. 153-156.
Luu, Final Office Action received from the USPTO dated Apr. 2009 relating to U.S. Appl. No. 11/351,342.
Colinge, “Fully Depleted SOI CMOS for Analog Applications”, IEEE Transactions on Electron Devices, 1998, pp. 1010-1016.
Flandre, et al., “Fully Depleted SOI CMOS Technology for Low Voltage Low Power Mixed Digital/Analog/Microwave Circuits”, Analog Integrated Circuits and Signal Processing, 1999, pp. 213-228.
Yamao, “GaAs Broadband Monolithic Switches”, 1986, pp. 63-71.
Gopinath, et al., “GaAs FET RF Switches”, IEEE Transactions on Electron Devices, 1985, pp. 1272-1278.
Lee, et al., “Harmonic Distortion Due to Narrow Width Effects in Deep Submicron SOI-CMOS Device for Analog RF Applications”, 2002 IEEE International SOI Conference, Oct. 2002.
HI-5042 thru HI-5051 Datasheet, Harris Corporation, 1999.
Eisenberg, et al., “High Isolation 1-20GHz MMIC Switches with On-Chip Drivers”, IEEE Microwave and Millimeter-Wave Monolithic Circuits Symposium, 1989, pp. 41-45.
Shifrin et al., “High Power Control Components Using a New Monolithic FET Structure”, IEEE Microwave and Millimeter-Wave Monolithic Circuits Symposium, 1988, pp. 51-56.
Kohama, et al., “High Power DPDT Antenna Switch MMIC for Digital Cellular Systems”, GaAs IC Symposium, 1995, pp. 75-78.
Kohama, et al., “High Power DPDT Antenna Switch MMIC for Digital Cellular Systems”, IEEE Journal of Solid-State Circuits, 1996, pp. 1406-1411.
Yun, et al., “High Power-GaAs MMIC Switches wtih Planar Semi-Insulated Gate FETs (SIGFETs)”, International Symposium on Power Semiconductor Devices & ICs, 1990, pp. 55-58.
Caverly, “High Power Gallium Nitride Devices for Microwave and RF Control Applications”, 1999, pp. 1-30.
Caverly, “High Power Gallium Nitride Devices for Microwave and RF Control Applications”, 2000, pp. 1-33.
Masuda, et al., “High Power Heterojunction GaAs Switch IC with P-1dB of more than 38dBm for GSM Application”, IEEE, 1998, pp. 229-232.
De Boer, et al., “Highly Integrated X-Band Multi-Function MMIC with Integrated LNA and Driver Amplifier”, TNO Physics and Electronics Laboratory, 2002, pp. 1-4.
Kanda, et al., “High Performance 19GHz Band GaAs FET Switches Using LOXI (Layerd Oxide Isolation)—MESFETs”, IEEE, 1997, pp. 62-65.
Uda, et al., “High-Performance GaAs Switch IC's Fabricated Using MESFET's with Two Kinds of Pinch-Off Voltages and a Symmetrical Pattern Configuration”, IEEE Journal of Solid-State Circuits, vol. 29, No. 10, Oct. 1994, pp. 1262-1269.
Uda, et al., “High Performance GaAs Switch IC's Fabricated Using MESFETs with Two Kinds of Pinch Off Voltages”, IEEE GaAs IC Symposium, 1993, pp. 247-250.
Armijos, “High Speed DMOS FET Analog Switches and Switch Arrays”, Temic Semiconductors 1994, pp. 1-10.
Katzin, et al., “High Speed 100+ W RF Switches Using GaAs MMICs”, IEEE Transactions on Microwave Theory and Techniques, 1992, pp. 1989-1996.
Honeywell, “Honeywell SPDT Absorptive RF Switch”, Honeywell, 2002, pp. 1-6.
Honeywell, “Honeywell SPDT Reflective RF Switch”, Honeywell Advance Information, 2001, pp. 1-3.
Hirano, et al., “Impact of Actively Body Bias Controlled (ABC) SOI SRAM by Using Direct Body Contact Technology for Low Voltage Application”, IEEE, 2003, pp. 2.4.1-2.4.4.
Larson, “Integrated Circuit Technology Options for RFIC's—Present Status and Future Directions”, IEEE Journal of Solid-State Circuits, 1998, pp. 387-399.
Burghartz, “Integrated RF and Microwave Components in BiCMOS Technology”, IEEE Transactions on Electron Devices, 1996, pp. 1559-1570.
Kelly, “Integrated Ultra CMIS Designs in GSM Front End”, Wireless Design Magazine, 2004, pp. 18-22.
Bonkowski, et al., “Integraton of Triple Band GSM Antenna Switch Module Using SOI CMOS”, IEEE Radio Frequency Integrated Circuits Symposium, 2004, pp. 511-514.
Le, International Search Report from the USPTO dated Mar. 2003 relating to U.S. Appl. No. 10/267,531.
Marenk, et al., “Layout Optimization of Cascode RF SOI Transistors”, IEEE International SOI Conference, 2001, pp. 105-106.
Suematsu, et al., “L-Band Internally Matched Si-MMIC Front End”, IEEE, 1996, pp. 2375-2378.
Iyama, et al., “L-Band SPDT Switch Using Si-MOSFET”, IEICE Trans. Electron, vol. E79-C, No. 5, May 1996, pp. 636-643.
Caverly, “Linear and Nonlinear Characteristics of the Silicon CMOS Monolithic 50-Omega Microwave and RF Control Element”, IEEE Journal of Solid-State Circuits, 1999, pp. 124-126.
Adan, et al., “Linearity and Low Noise Performance of SOIMOSFETs for RF Applications”, IEEE International SOI Conference, 2000, pp. 30-31.
Megahed, et al., “Low Cost UTSi Technology for RF Wireless Applications”, IEEE MTT-S Digest, 1998.
Ichikawa, Takenori, Office Action and English translation received from the JPO dated Nov. 18, 2014 for appln. No. 2013-181032, 15 pgs.
Ranta, et al., Response filed in the USPTO dated Nov. 12, 2014 for U.S. Appl. No. 14/178,116, 8 pgs.
Dang, Hung Q., Notice of Allowance received from the USPTO dated Nov. 18, 2014 for U.S. Appl. No. 12/735,954, 33 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated Dec. 10, 2014 for appln. No. 14165804.7, 76 pgs.
Reedy, et al., Response filed in the USPTO dated Dec. 21, 2014 for U.S. Appl. No. 13/595,893, 24 pgs.
Englekirk, Robert Mark, Response filed in the USPTO dated Dec. 15, 2014 for U.S. Appl. No. 14/028,357, 10 pgs.
Wong, Alan, Final Office Action received from the USPTO dated Mar. 4, 2015 for U.S. Appl. No. 13/595,893, 33 pgs.
Puentes, Daniel, Notice of Allowance received from the USPTO dated Mar. 31, 2015 for U.S. Appl. No. 14/178,116, 181 pgs.
Patel, Reema, Final Office Action received from the USPTO dated Apr. 7, 2015 for U.S. Appl. No. 14/028,357, 159 pgs.
Englekirk, Robert Mark, Response After Final Office Action filed in the USPTO dated Jun. 8, 2015 for U.S. Appl. No. 14/028,357, 3 pgs.
Patel, Reema, Notice of Allowance received from the USPTO dated Jun. 25, 2015 for U.S. Appl. No. 14/028,357, 12 pgs.
Ranta, Tero Tapio, Prliminary Amendment filed in the USPTO dated Jul. 8, 2015 for U.S. Appl. No. 14/638,917, 8 pgs.
Wong, Alan, Notice of Allowance received from the USPTO dated Aug. 17, 2015 for U.S. Appl. No. 13/595,893, 12 pgs.
Ichikawa, Takenori, Office Action and English translation received from the JPO dated Aug. 18, 2015 for appln. No. 2013-181032, 15 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated Sep. 10, 2015 for appln. No. 14165804.7, 14 pgs.
Le, Dinh Thanh, Office Action received from the USPTO dated Sep. 22, 2015 for U.S. Appl. No. 14/165,422, 4 pgs.
Le, Dinh Thanh, Office Action received from the USPTO dated Jan. 11, 2016 for U.S. Appl. No. 14/165,422, 47 pgs.
Related Publications (1)
Number Date Country
20130208396 A1 Aug 2013 US
Provisional Applications (1)
Number Date Country
61067634 Feb 2008 US
Continuation in Parts (1)
Number Date Country
Parent 12735954 Mar 2009 US
Child 13586738 US