Integrated RF front end with stacked transistor switch

Information

  • Patent Grant
  • 11588513
  • Patent Number
    11,588,513
  • Date Filed
    Wednesday, July 14, 2021
    2 years ago
  • Date Issued
    Tuesday, February 21, 2023
    a year ago
Abstract
A monolithic integrated circuit (IC), and method of manufacturing same, that includes all RF front end or transceiver elements for a portable communication device, including a power amplifier (PA), a matching, coupling and filtering network, and an antenna switch to couple the conditioned PA signal to an antenna. An output signal sensor senses at least a voltage amplitude of the signal switched by the antenna switch, and signals a PA control circuit to limit PA output power in response to excessive values of sensed output. Stacks of multiple FETs in series to operate as a switching device may be used for implementation of the RF front end, and the method and apparatus of such stacks are claimed as subcombinations. An iClass PA architecture is described that dissipatively terminates unwanted harmonics of the PA output signal. A preferred embodiment of the RF transceiver IC includes two distinct PA circuits, two distinct receive signal amplifier circuits, and a four-way antenna switch to selectably couple a single antenna connection to any one of the four circuits.
Description
BACKGROUND OF THE INVENTION
1. Field of the Invention

This invention relates broadly to integrated electronic circuits, and more specifically to RF transceiver circuitry.


2. Related Art

Wireless communications devices, especially handheld devices, are undergoing sustained development. Perhaps more than any other factor, the extreme popularity of cellular mobile telephones has motivated improvements in efficiency, speed, size and cost-effectiveness for RF transmission circuits in handheld devices. Enhancing the efficiency of such circuits is highly desirable so that the size of the required batteries may be reduced, while their life is extended. Cost-effectiveness is clearly always desirable for consumer products, particularly when such products require periodic replacement to stay abreast of changes in the technology. The steady advance of functionality in cellular telephones, combined with consumer preferences for light and small devices, puts a premium on reducing the volume required for RF transmission circuits. Additionally, transmitters must meet stringent emission limits, which have been established in order to facilitate high communication density at minimal power levels.


Most wireless communication units, such as cellular telephones, comprise at least one RF transceiver. A communication device, such as a cellular telephone, may comprise a multiplicity of RF (radio frequency) front end circuits, which are of primary interest herein. RF front end circuits (or subcircuits) typically include an RF transmit signal amplifier, a Power Amplifier (PA), a matching and filtering section, an antenna switch, and may include a received signal amplifier. A complete transceiver generally also includes a low-noise amplifier for the received signal. Of these circuits, the PA subcircuit is typically the most power-consuming portion of such transmitters, and, also typically, is the source of the most significant unintended or “spurious” emissions. In order to extend battery life, to meet stringent spurious emissions standards, and to minimize the cost of these high-volume consumer items, there is a need to improve the speed and efficiency, while reducing spurious emissions and manufacturing costs, for such PA subcircuits. Due to their need to handle high power, the PA and antenna switch subcircuits consume the most integrated circuit area. Manufacturing costs for integrated circuits are strongly dependent on the amount of device area required for each circuit. Consequently, substantial reductions in the area required for the various RF transceiver subsections will generally lead to commensurate reductions in manufacturing costs for transceiver circuits.


A range of PA topologies have been developed, each having different advantages. For example, PAs of class A, B, C, D, E and F are well known in the art. The primary amplifying devices in PAs of classes A-C are designed to operate in an “active” region of their operating range, thus intentionally conducting current while voltage is present across the device.


PAs of classes D, E and F attempt to reduce the power loss caused by such linear operation by employing amplifier devices as switches that minimize operation in active regions, rather than as linear amplifiers. However, the pulse-type outputs from such amplifiers generally require extensive filtering in order to establish a narrow-band sinusoidal output, as is typically required. While normal operation of PAs in classes D-F does not intentionally cause drive element devices to conduct while voltage is present across the devices, even switched devices consume real power due to current flowing while voltage is present during finite switching periods. Moreover, compared to drive devices in analog PAs operating at the same transmission center frequency, drive devices in class D-F switching circuits must often operate at much higher frequencies. The higher frequency signals include significant energy at undesired frequencies, and such undesired signal energies not only consume circuit power, but also require filtering to meet emission limits.


Integration of devices is generally desirable in order to improve various features of the resulting product, such as operating frequency and reliability, and may also reduce overall manufacturing costs, as well as likely reducing the volume occupied by the circuits. Field Effect Transistors (FETs) are extremely popular for both linear amplification and switching purposes in integrated circuits. However, integrated circuit (IC) FETs have a limited capability to withstand voltage between any two nodes, including gate-source, gate-drain, and drain-source node pairs. Such voltage withstand limitations may particularly impair the usefulness of IC FETs in high power switching circuits, in which inductive voltages may greatly exceed the supply voltage. As a particular example, the transmission output power capability of an RF PA is highly dependent upon the amplitude of the output voltage. One of the difficulties with existing PA technologies is that many otherwise desirably high-speed devices are fabricated using processes that tend to yield FETs having relatively low breakdown voltages. It is very desirable to solve this problem ant thereby provide a wider voltage range while retaining other desirable integrated device features. Such a solution enables integration on monolithic integrated circuits of power and control features that previously required separate processing, such as PA features and RF switch features. Integration of interacting circuits that were previously discrete will enhance yield and predictability, due to the process matching that is inherent in monolithic integration.


Methods and circuits are described herein that facilitate the fabrication of all of the transceiver RF circuits of a dual-band transceiver onto a single integrated circuit, thereby solving the problems and gaining the benefits noted above. Many of the benefits are achieved by integrating even the front-end portions of transceivers that do not necessarily include dual-band operation. One or more alternatives are described for each of numerous subcircuits (or corresponding methods), and a fully integrated RF front end, or an integrated RF transceiver, may be fabricated by using any compatible one of such alternatives for each section of the transceiver. Moreover, several of the subcircuits (or corresponding methods) that permit an integrated RF transceiver to be realized are also useful in other contexts, often independently of other RF transceiver subcircuits. Thus, various subcombinations of features described herein constitute useful inventions in their own right. Combined, various aspects of these subcombinations together achieve an integrated dual-band RF transceiver having all of the benefits noted above. Particularly notable among the independently useful subcircuits are stacked-FET RF switches and particular PA circuit topologies. Finally, the integration of certain RF transceiver subsections permits efficiencies in manufacturing without compromising safety and reliability of the final product.


SUMMARY

A combination of methods and/or circuits is described that enables the fabrication of a self-protected monolithic integrated circuit including all of the RF front-end sections of a communications transceiver. Such self-protected RF front-end circuits particularly include those sections, from a Power Amplifier (PA) through an antenna connection, that permit efficient internal protection from overload due to an improper, missing or damaged antenna.


Several subcombinations of the self-protected monolithic integrated RF front-end circuits have independent importance. One such subcombination is an integrated stacked-FET switch. One embodiment of this subcombination is a circuit including a multiplicity of FETs in a stack having drain-source channels coupled in series to control conductivity between nodes in a circuit. A control signal is coupled to a first FET to cause changes in conductivity of the first FET, and conductivity of the remaining FETs is enslaved to the conductivity of the first FET. A voltage withstand capability across the series combination of the FET stack may be substantially equal to a sum of drain-source voltage withstand capabilities of the individual FETs of the stack. A gate of each FET other than the first FET may be capacitively coupled to a common voltage.


Another subcombination is an RF Power Amplifier (PA) that may be referred to as an integrated iClass PA. One embodiment of this subcombination includes an input controlling an RF switch whose output is coupled to a supply source via an RF choke, and which operates with a characteristic drive output impedance at an operating frequency f0. The drive output is coupled to an antenna connection having an expected antenna impedance via a coupling circuit that matches the drive output impedance to the expected antenna impedance, and also includes a circuit that dissipatively terminates signals at one or more harmonics of the operating frequency f0. The iClass RF PA may further include a shunt filter configured to provide local minimum impedances between the drive output and the reference at a plurality of frequencies, including an even harmonic of f0 and a non-unity odd harmonic of f0. These minimum impedances may be approximately equal to the characteristic drive impedance.


One embodiment of the self-protected front-end circuit is an integrated circuit that includes a PA having an output amplitude regulator circuit that is controlled by an output limiting controller. The embodiment further includes an antenna switch that selectably couples an antenna connection to either the PA, via a matching and coupling circuit, or to a receive signal amplifier. The embodiment also includes an antenna connection sensor configured to sense current through, and/or voltage at, the antenna connection, and circuitry coupling an output of the antenna connection sensor to the output limiting controller, which is configured to affect the output amplitude regulator circuit to prevent the existence of currents or voltages in excess of design limits at the antenna connection.


A related embodiment is a method of making a monolithically integrated PA with protection from excessive output values caused by high Voltage Standing Wave Ratios (VSWRs) that are due to improper antenna impedance. The embodiment includes fabricating an RF PA on an integrated circuit chip to receive a transmit signal, and providing an output power limiting circuit for the PA. It also includes fabricating coupling, matching and filtering circuits on the same integrated circuit to condition a PA output signal having a PA output impedance to a different impedance desired for a connecting element that is connected to the integrated circuit to couple the conditioned signal to an antenna. The embodiment further includes disposing an antenna switch on the integrated circuit between the PA and the connecting element whereby the connecting element may be controllably coupled to either the conditioned signal from the PA, or to a receive amplifying circuit disposed on the integrated circuit. The embodiment includes providing a sensing circuit to sense a parameter of the signal delivered to the connecting element, and a PA control circuit to reduce power of the PA output signal in response to a value of the sensed parameter that is deemed excessive.


An embodiment of a further subcombination is a method of amplifying RF signals, and includes providing a plural-FET stack to control conduction between an output drive node and a reference node to effect a characteristic impedance at an operating frequency f0. The embodiment further includes disposing, between the output drive node and the reference node, a shunt filter configured to dissipatively terminate a harmonic frequency of f0. The shunt filter may include local minimum impedances at an even harmonic of f0 and at a non-unity odd harmonic of f0, and the local minimum impedances may be approximately equal to the characteristic impedance of the FET stack.





BRIEF DESCRIPTION OF THE DRAWINGS

Embodiments of the present invention will be more readily understood by reference to the following figures, in which like reference numbers and designations indicate like elements.



FIG. 1 is a block schematic diagram representative of some types of RF power amplifier (PA) circuitry.



FIG. 2 is a generalized schematic diagram of a stacked-FET control circuit useable with a PA such as shown in FIG. 1.



FIG. 3 is a simplified schematic diagram of an impedance matching and coupling bandpass filter useable in a PA such as shown in FIG. 1.



FIG. 4 is a simplified schematic diagram of a shunt filter useable in a PA such as shown in FIG. 1.



FIG. 5 is a simplified schematic diagram of a shunt power control circuit useable in a PA such as shown in FIG. 1.



FIG. 6 is a simplified schematic diagram of an output filter for Class F operation of a PA such as shown in FIG. 1.



FIG. 7 is a schematic diagram illustrating alternative biasing features for a stacked-FET amplifying circuit.



FIG. 8 is a simplified schematic diagram illustrating an alternative method of biasing FETs of a FET stack.



FIG. 9 is a simplified schematic diagram of a Class D PA employing complementary stacked-FET drive elements.



FIG. 10 is a schematic diagram of exemplary output filtering for a Class D PA such as represented in FIG. 9.



FIG. 11 is a simplified schematic diagram of a harmonic termination shunt filter useable in a PA such as shown in FIG. 1.



FIG. 12 is a simplified schematic diagram of an integrated dual-band RF transceiver.



FIG. 13 is a schematic diagram of an exemplary PA output power supervisor for directing output power levels in the transceiver of FIG. 12.



FIG. 14 is a schematic diagram of an exemplary series output regulator for the transceiver of FIG. 12.



FIG. 15 is a schematic diagram of an exemplary pulse width controller for the transceiver of FIG. 12.



FIG. 16 is a schematic diagram of an exemplary output voltage detector for the transceiver of FIG. 12.



FIG. 17 is a schematic diagram of an exemplary dual-band antenna switch for the transceiver of FIG. 12.





DETAILED DESCRIPTION
I. Power Amplifier Overview


FIG. 1 is a block diagram of an RF power amplifier (PA). The illustrated RF PA is quite general, in that varying the biasing of devices in a driver elements block 200, and/or varying details of the other blocks, will permit the RF PA illustrated in FIG. 1 to operate in any of amplifier classes A, B, C, E, F, or, as described further herein, as an iClass amplifier. FIGS. 2-5 each show an exemplary circuit to implement one of the blocks shown in FIG. 1.


An input 102 is provided to the PA with respect to a circuit reference, or common, 104. The input 102 generally comprises a properly biased signal at a center drive frequency, f0. In response to the input 102, the driver elements block 200 controls conduction between a drive output node 106 and the circuit common 104. The driver elements block 200, in conjunction with current from VDD via an RF choke (RFC) LS 108, provides a signal having a particular impedance Zdrive. Zdrive may vary with frequency, but will refer to the drive impedance at the center operating frequency f0, unless otherwise indicated. A shunt filter 400 may be coupled between the drive output node 106 and the circuit common 104. Numerous different filtering arrangements may be used, some examples of which are described subsequently herein.


An antenna 110 has a characteristic impedance ZOUT, generally 50Ω (at the center frequency f0 unless otherwise indicated). A block 300 is typically required to provide matching and coupling between the drive node 106 (at Zdrive) and the output at ZOUT. Following the matching and coupling, an output filter section such as the combination of LO 116 and CO 118 may typically be disposed in the signal path before an RF switch, SRF 120, which appropriately couples the output to the antenna 110. Because the PA circuit is integrated on a semiconductor device, and the antenna 110 is typically external to the IC comprising the PA, the antenna 110 often operates with a different reference voltage, for example a chassis ground 112, which has a non-zero impedance to the circuit common 104. Accordingly, the matching-coupling block 300, as well as the filter section 116-118, has an output that is referenced to chassis ground 112.


Power control may optionally be provided. One example employs a shunt power control block 500, which may provide a voltage offset between chassis ground 112 and circuit common 104 to reduce the amplitude of signals received by the antenna 110. A series regulator circuit, such as items 1400-1401 in FIG. 12, is probably used more commonly.


The monolithically integrated RF PAs, RF front ends, and RF transceivers described herein may be fabricated to operate at relatively high frequencies of at least 900 MHz and/or 2.4 GHz, and at moderate power levels. These designs are useful for transceivers having transmit power maximums of at least 0.5 W, 1 W, or 1.5 W RMS of RF output power delivered to the antenna connection when it is properly coupled to a matched antenna.


II. Stacked-FET Drivers


FIG. 2 is a simplified schematic diagram of a stacked-FET circuit that may be used for the driver elements block 200 in the RF PA of FIG. 1, for controlling conduction between the drive output node 106 and the circuit common 104. The stack includes two or more FETs of the same polarity, i.e., all FETs in a stack are N-channel FETs, or all are P-channel FETs, or at least all FETs in a stack operate substantially similarly as each other.


The FET stack 200 of FIG. 2 is configured to control conduction between two nodes of an integrated circuit. A terminal VdriveREF 202 is connected to one of the two nodes (e.g., circuit common 104 in FIG. 1), while a terminal Vdrive 224 is connected to the other node (e.g., Vdrive 106 in FIG. 1). For N-channel FETs (N-FETs) as illustrated in FIG. 2, VdriveREF 202 will be connected to the more negative of the two nodes, for example to circuit common 104 in FIG. 1. The terminal VdriveREF 202 is coupled to the source of a first FET of the stack 200, M1 204.


The FET stack 200 is controlled by means of an input signal, relative to terminal VdriveREF 202, that is coupled to the gate of the signal-input FET M1 204 via an input terminal 206. The drain of M1 204 is coupled to the source of a second FET M2 208. The gate of M2 208 is provided with a bias voltage VB2 210 via a bias resistor RB2 212, and is decoupled to VdriveREF 202 via CG2 214. In some embodiments, these two FETs are sufficient, when properly configured to divide applied voltages so as to avoid exceeding breakdown limits of either device, to serve as a conduction controlling circuit to handle increased voltages in a circuit such as a PA or a quad mixer.


In other embodiments, however, one or more additional FETs of the same polarity are connected in series with M1 204 and M2 208. Such additional FETs are represented in FIG. 2 by an Nth FET, MN 216. As for each additional FET of the stack, the source of MN 216 is coupled to the drain of the preceding FET of the stack, i.e., to the drain of FET MN-1 (not shown, though if N=3 then MN is M2 208). The drain of the last FET of the stack, MN 216, is coupled to the output terminal Vdrive 224. Associated with each additional FET is a biasing voltage VBN 218, which is coupled to the gate of the FET via a bias impedance such as RBN 220, and a capacitor CGN 222 for coupling the gate to a voltage such that the FET is enslaved to conduction by the signal-input FET (here, M1 204). As shown, enslaving may be effected by coupling the gate of each additional FET to VdriveREF 202.


FET stacks with at least nine FETs in series have been fabricated or simulated, and stacks of even more series FETs are certainly possible. Note that physical circuit couplings generally include finite capacitance, inductance, and resistance. For many purposes it is preferred that the FETs of the FET stack 200 be coupled with minimal impedance in series, drain to source. However, impedance may be intentionally added to such couplings. For example, it may be desirable to more closely control a drive impedance, and to dissipate heat in specific resistive series coupling elements rather than within the FETs themselves. It may also be desirable to add impedance between the FETs of the FET stack 200 so as to tune the conductance of the drive circuit.


II.A. FET Stack Biasing

In some embodiments, the FETs of a FET stack may all have substantially similar voltage withstand capabilities, such as breakdown voltages VGS(br), VDS(br), and VDG(br). For some integrated circuit fabrication processes, these values will be similar from FET to FET. Moreover, for some integrated circuit fabrication processes, the breakdown voltages VGS(br), VDS(br), and VDG(br) may be approximately equal to each other. Proper biasing will usefully ensure that none of these breakdown voltages is exceeded during normal operation of the circuit. In some embodiments, with proper biasing, voltage excursions between VdriveREF 202 and Vdrive 224 may be permitted to approach a sum of VDS breakdown voltages for each constituent FET of the stack.


Biasing and coupling the FETs of a FET stack as described below may prevent voltages from exceeding any maximum allowable node to node voltage for any FET of the stack, even when the total voltage impressed from Vdrive 224 to VdriveREF 202 is nearly equal to the sum of the maximum allowable VDS for the individual FETs of the stack. Unless otherwise noted, the maximum allowable voltage between any two nodes of the FETs (i.e., VGS, VDS, and VDG) are generally assumed to be substantially equal, both for the various nodes of each FET, and from FET to FET, which accords with an exemplary semiconductor fabrication processes. However, the skilled person may readily extend the principles set forth below to encompass situations in which these maximum allowable voltages are not equal. Also, the calculations set forth below for N-channel FET stacks may be applied to P-channel FET stacks with appropriate inversions of polarities and references.


The impedance of the gate drive of M1 may be selected according to ordinary transistor driving principles. In this exemplary embodiment, VDS(max) is the same for all FETs of the stack. VDS for M1 will therefore approximate (Vdrive-VdriveREF)/N. For each FET M“X”, for X values from 2 to N, the effective value of each biasing resistor RBX is selected to control a time constant, τGX, of the gate coupling. τGX is, approximately, the sum of effective capacitances of the gate coupling capacitor CGX plus the parasitic gate capacitances CGPX, multiplied by the series impedance to a biasing voltage. Such series impedance is typically resistive, and will be designated RBX(equiv). It may be desirable for τGX to be much longer than the period 1/f0 of the center drive frequency, preferably 5-20 times as long. Thus, a good design center goal is:

RBX(equiv)(CGX+CGPX)=10/f0  (Eqn. 1).


With respect to VdriveREF, and for Vpeak that is the maximum expected value of Vdrive, one proper bias voltage value is simply a proportional portion of ½ of Vpeak:

VBX=X(Vpeak)/2N  (Eqn. 2)

Thus, an example in which N=4 yields: VB2=Vpeak/4, VB3=3(Vpeak)/8, and VB4=Vpeak/2.


II.B. FET Stack Gate Signal Coupling

In FIGS. 2 and 7, each gate node (VGX) is coupled via the capacitor CGX to the reference voltage VdriveREF. Each gate node is also coupled to a DC bias voltage via a bias resistor. In this configuration, the effective drive voltage VGSX for each FET MX of the FET stack depends upon the voltage excursion of its source, VSX, in conjunction with the impedance from the source to the gate node, and from the gate node to AC ground. These impedances are dominated by the gate-source capacitance and the coupling capacitor CGX. Appropriate values for CGX may be determined as follows.


In the exemplary embodiment, the maximum voltage between each node pair of each FET is the same. The voltage excursions of the source of FET M2 must therefore not exceed the maximum VDS for M1. As such, the value of CG2 is unlimited, and desirably large, for effecting AC grounding of the gate of M2 and thereby providing the largest common-gate drive signal to M2. VGS (max) will not be exceeded for M2 if the (DC) voltage on the gate is maintained within the range of the source voltage excursions. However, if (contrary to the assumptions above) the maximum VDS1 exceeds the maximum VGS2, then CG2 values may need to be limited in a manner analogous to that described below for CGX for X from 2 to N.


The voltage excursion of the source of each FET MX with respect to VdriveREF, ΔVSX, will be equal to the drain voltage excursion for M(X-1), ΔVD(X-1). This voltage, presuming equal division between the various FETs, is X(Vpeak−Vmin)/N. For Vmin=0, this is simply X(Vpeak)/N, and ΔVSX=(X−1)(Vpeak)/N.


The parasitic gate-source capacitance CGS of a FET increases, when VGS=VGS(on), to COX, the oxide capacitance. COX for a particular FET MX is designated COXX. Because CGX is coupled to the reference voltage VdriveREF, the net VGSX will be capacitively divided between CGX and COXX. Thus, the gate-source excursion ΔVGSX=(ΔVSX)/(1+COXX/CGSX). Presuming equal maximums for VGS and VDS, it is desired to limit ΔVGSX≤Vpeak/N. Thus, substituting for ΔVGSX and ΔVSX, Vpeak/N≥[(X−1)(Vpeak)/N]/[1+COXX/CGSX]. Appropriate consolidation yields:

CGX≤COXX/(X−2)  (Eqn. 3)

For X=2, CGX≤infinity, as expected. Also as expected, excessive values for CGX will tend to cause excessive gate-source voltage excursions (ΔVGSX). The inequality of Eqn. 3 may prevent excessive voltages between nodes of the devices. However, CGX may desirably be as large as is allowable so as to provide the largest allowable drive levels without exceeding breakdown voltages. Accordingly, the inequality of equation 3 may be treated as an approximate equality.


The result set forth above may not apply when it is desired to divide voltage differently between different FETs of the stack, or when maximum gate-source voltages differ from maximum drain-source voltages. However, the skilled person will have no difficulty determining desirable values for CGX for such various circumstances by calculations corresponding to those set forth above, with appropriately modified assumptions. Because the capacitors CGX must sustain voltages exceeding the bias voltage of the corresponding FET MX, a metal-insulator-metal (MIM) capacitor is a good choice. Moreover, the capacitance of both (parasitic) oxide capacitors and MIM capacitors is a direct function of geometry. Certain fabrication variables, such as lithographic variables, therefore tend to have similar effects on both types of capacitances, leaving the ratio of such capacitances relatively immune to such variables.



FIG. 3 shows an exemplary matching, coupling and filtering block 300, which, as shown in FIG. 1, may be disposed between the drive output node 106 and the antenna 110. The matching function transforms the typically 50Ω characteristic impedance of the antenna, ZOUT, to the characteristic impedance of the drive output node 106 (both at the operating frequency f0) in a manner well known to those of skill in the art. The coupling capacitor CC 302 blocks DC from the drive output node 106, and may be selected to have an impedance at f0 that is less, and desirably much less, than the impedance to chassis ground 112, or to circuit common 104, from either side of the capacitor 302. The matching circuit 300 includes an “A” filter element comprising an inductor LA 304 and a capacitor CA 306, which may be fabricated as part of the integrated circuit. The matching circuit 300 also includes a “B” filter element comprising an inductor LB 308 and a capacitor CB 310 to chassis ground 112 (to which the antenna 110 of FIG. 1 is referenced). The coupling capacitor CC 302, as well as the inductor LB 308 and the capacitor CB 310 may be fabricated on an integrated circuit with the PA, but some of these devices are typically external to the integrated circuit.


III. Shunt Filtering


FIG. 4 illustrates a shunt filter 400 that may be employed in an iClass PA such as illustrated in FIG. 1. A node 402 of shunt filter 400 may be connected to the drive output node 106 of FIG. 1, and an opposite node 404 may be connected to circuit common 104 of FIG. 1. The shunt filter 400 may provide local minimum impedances at each of one or more particular frequencies. The minimum impedances may be matched to the drive circuit impedance (as established, for example, by the drive elements 200 and the RF choke LS 108). The shunt filter elements may be fabricated as part of the integrated circuit that includes the drive elements 200, thus reducing loop areas of currents passing through the elements of the shunt filter 400.


A shunt filter 400 for FIG. 1 may be a “transmission line filter” fabricated of reactive elements that are substantially distributed over an appropriate length, for example ¼ wavelength at f0. Such a transmission line may be coupled to circuit common via a resonant circuit having a maximum impedance at f0, such as a filter 600 as illustrated in FIG. 6 and described in more detail hereinbelow. Such a configuration for the shunt filter 400 provides local impedance minimums (approximately zero) at each even harmonic of f0, and local maximum impedances at each odd harmonic of f0. Stated more concisely, such a configuration may typically be said to reflect all odd harmonics, and to short all even harmonics, thus permitting operation as a Class F PA.


However, the shunt filter 400 illustrated in FIG. 4 for use in an iClass PA generally differs from such a transmission line filter. First, the shunt filter 400 may employ lumped, rather than distributed, elements. Consequently, local minimum impedances may occur at selected frequencies rather than at all odd, or at all even, harmonics of a resonant frequency (e.g., f0). Second, the filter may employ series resistive elements to intentionally establish a non-zero value of local minimum impedance. It may be useful, for example, to control the local impedance minimum values so as to match an impedance of the drive circuit at the corresponding frequency (or, alternatively, at f0). As a result of such differences between the circuit illustrated in FIG. 4 and a conventional transmission line filter, the magnitudes of currents in current loops may be reduced. Moreover, drive element power dissipation may be reduced at the frequencies corresponding to the selected minimum impedances.


In FIG. 4, a first shunt filter element includes LSF1 406, RSF1 408, and CSF1 410. These components establish a local minimum impedance at a particular frequency, with the impedance increasing for both higher and lower frequencies. A switch S1412, in conjunction with an additional capacitor CSF3 414, represents an optional circuit for adjusting the frequency of the minimum impedance of the first filter element. As shown, the effective value of the series capacitor of the first filter element is increased when S1 is closed and CSF3 is disposed in parallel with CSF1410.


Of course, such frequency adjustability may be effected in numerous different manners. For example, S1412 may be a FET for electronically switching the frequency. Additionally or alternatively, CSF1 410, as well as optional CSF3 414, may be varactors (with the corresponding addition of an appropriate control circuit for the DC voltages on such varactors). Moreover, the capacitor CSF3 414 may be disposed in series connection, rather than parallel connection, with CSF1 410, in which event the switch S1 may be configured to bypass the capacitor CSF3 414. Yet further, analogous techniques may be employed to vary inductance, rather than capacitance. For example, the switch S1412 may selectably bypass a second inductive element, so as to vary the effective inductance of the first shunt filter element.


The second shunt filter element comprises an inductor LSF2 416, a resistive element RSF2 418, and a capacitor CSF2 420. The resonant frequency of the second filter element (or, indeed, of any further filter element) of the shunt filter 400 may be varied by similar techniques as outlined above with respect to the first filter element. It may be useful to have a minimum impedance that is substantially resistive, and/or that is non-zero. In one embodiment, the first and second filter elements are designed to provide local minimum impedances, at a second harmonic and a third harmonic of the operating frequency f0 respectively, which are approximately equal to the drive circuit impedance. Though only two filter elements are illustrated, additional harmonics may desirably be treated with additional filter elements (not shown).



FIG. 11 is a schematic diagram of a circuit alternative for shunt filter 400 together with matching and coupling circuit 300. Zdrive and ZOUT of FIG. 11 are connected as shown in FIG. 1. CC 302 is substantially the same as in FIG. 3, providing DC isolation of the PA from the antenna output. The shunt filter includes a parallel resonant circuit primarily consisting of CSF 980 and LSF 982, which together function as a tank circuit that is resonant at f0. All integer harmonic frequencies of f0 are coupled through Rdrive 984, which is preferably selected to be approximately equal to the characteristic drive impedance of the PA switching circuit. Thereby, all harmonic frequencies of f0 are terminated at the drive impedance. In some embodiments, alternative filtering, such as two parallel tank circuits each resonant near f0, may be disposed in series above Rdrive 984. Dual tank circuits may be configured either to resonate at substantially identical frequencies, thereby increasing the impedance at f0 and reducing power loss at f0, or to resonate at slightly different frequencies, thereby broadening the range of frequencies at which the circuit has high impedance to ease manufacturing tolerances. Following the shunt filter, a matching and filtering network 990 may be as illustrated in FIG. 3, except for two differences: first, coupling capacitor CC 302 is omitted, and second, CA 306, being on the antenna side of coupling capacitor CC 302, is coupled to earth ground 112 rather than circuit common 104. The two common references may be made effectively identical in some integrated circuit layouts.


IV. PA Output Power Control


FIG. 5 illustrates elements of one possible shunt power control circuit 500 for the PA of FIG. 1. A power control input 502 may establish a bias with respect to chassis ground 112. An inductive impedance Lg1504 (which may reflect, for example, the inductance of a bond wire) is illustrated between chassis ground and the source of a power control FET MPC 506. A connection 508 may be coupled to circuit common 104 in FIG. 1. An inductance Lg2510 typically exists in series with a bypass capacitor for the power control circuit, CPC 512. Assuming that the DC voltage VDD is with respect to chassis ground 112, the substantially DC voltage established across CPC 512 will reduce the effective supply voltage with respect to circuit common 104.


Other techniques may also be used to control the output power for a circuit as shown in FIG. 1, particularly when operated in a Class F configuration (rectangular wave input control). Such other techniques may be used either in addition to, or instead of, shunt power control as described above with respect to FIG. 5.


As a first example, referring also to FIG. 2, the bias voltages on the FETs M2 208 . . . MN 216 may be adjusted. Efficiency will decrease, but power output will decrease more rapidly. As noted above, bias may generally be set such that VBX=X(Vpeak)/2N. However, if VB2 is decreased well below the calculated value, the output voltage Vdrive (in iClass operation with harmonic termination) will also decline. Thus, for example, a circuit may be configured as shown in FIG. 7, except that the effective average voltage at the gate of M2 208 may be controllably reduced. This may be accomplished by making the value of RB1 708 variable (e.g., by means of a parallel FET). Alternatively, the value of RB1 708 may be reduced, and RB1 708 may be coupled to a variable voltage source rather than to reference 202. Reducing the bias voltage will cause corresponding reductions in drive output voltages. As described below in more detail, the self adjusting bias supply circuit of FIG. 7 will permit the bias on RBN to gradually follow the reductions in Vdrive(peak) that are caused by varying the bias voltage on the gate of M2.


PA output power may also be controlled by varying the amplitude of the drive signal. The conduction impedance of the drive elements will be higher when driven with a lower amplitude rectangular wave, resulting in a smaller effective drive voltage. The efficiency of this technique is typically comparable to the efficiency of varying bias voltages.


As discussed below with respect to FIGS. 12 and 14, a series regulator circuit may be used to control PA output power either alone, or in conjunction with one or more other power control techniques.


V. Alternative PA Embodiments


FIG. 6 is a simplified schematic diagram of a filter circuit 600 that may be employed in a manner similar to the shunt filter 400 in FIG. 1 to form a versatile PA architecture. The drive output node 106 of FIG. 1 may be coupled to a Zdrive node as an input to 600. The Zdrive node may be coupled via a ¼ wavelength transmission line 602 and a coupling capacitor CC1 612 to an output filter section. The output filter section may comprise a parallel combination of LOF1 610 and COF1 608, resonant at the operating frequency f0. Unlike some embodiments of shunt filters 400, the output filter section of FIG. 6 is typically not part of the PA integrated circuit, and thus is referenced to chassis ground 112 rather than to circuit common. The impedance of this bandpass filter to ground 112 falls rapidly as the frequency deviates from f0, and, therefore, the harmonics of the operating frequency are effectively shorted to ground at the output filter end of the transmission line 602. The standing waves of the properly tuned ¼ wavelength transmission line therefore provide a high impedance at each odd harmonic, and a low impedance at each even harmonic, as seen at the Zdrive node. A ZOUT node 604 may be coupled to a further output filter section 116-118, an RF switch 120, and antenna 110 as shown in FIG. 1. A matching network (not shown) may also be required, similar to that illustrated in FIG. 3 with the coupling capacitor CC 302 omitted. Such further filtering and matching circuits, or a transmission line coupled thereto, will ideally appear to the filter circuit 600 as a resistive impedance ROUTequiv 606 at the operating frequency f0.


Modified as described above, the circuit of FIG. 1 may be operated as an RF PA of Class A, Class B, Class C, Class E or Class F. For Class A operation, the input signal 102 is sinusoidal and does not cause the current through M1 202 of FIG. 2 to go to zero. For Class B operation, the input signal 102 is sinusoidal but M1 202 conducts only 50% of the time (conduction angle 180 degrees). Operation may be Class C, with a conduction angle less than 180 degrees, which yields some efficiency improvement as compared to the Class B operation. In each case the FETs M2 to MN are enslaved to M1, and the FET stack of FIG. 2 functions substantially as a single device. The circuit of FIG. 1 may also be operated as an iClass PA in a configuration related to Class F but having dissipative termination for harmonics of the operating frequency.


The circuit of FIG. 1, configured as described immediately above, may also be operated as a Class F RF PA. For Class F operation the input signal is preferably a square wave having a duty cycle that causes the circuit 200 to conduct at precisely a 50% duty cycle. The output voltages resulting from Class F operation generally increase substantially when the conduction duty cycle deviates from 50%. Unfortunately, ordinary manufacturing component variations tend to cause the duty cycle to deviate from 50%, and consequently the circuit may not readily be able to safely and reliably utilize the full voltage withstand capability of the drive element(s).


VI. Alternative Bias and Slaving

Embodiments of a FET stack, as described herein, may include a signal-input FET that receives a drive signal coupled to its gate with respect to a reference voltage that is coupled to its source. The remaining FETs of the stack may be enslaved to the signal-input FET, such that they conduct under the control of conduction in the signal-input FET. The method by which the other FETs of a FET stack are enslaved to the signal-input FET must cooperate with the method employed to properly bias the FETs. Accordingly, enslavement and biasing are addressed together.


In RF PAs generally according to FIG. 1, the peak voltage of drive output node 106 (with respect to circuit common 104) will often exceed twice the available supply voltage VDD 114. As such, bias voltages as required for the driver elements of FIG. 2 may not be readily available. This lack may be remedied by recourse, for example, to a charge pump. A charge pump that is preferred from the standpoint of minimal noise generation is described in commonly owned and copending U.S. patent application Ser. No. 10/658,154, “Low-Noise Charge Pump Method and Apparatus,” which is hereby incorporated in its entirety by reference. As described therein in detail, a low-current voltage source may be readily established at any desired voltage. Such voltage source may be provided, as needed, to any of the bias voltage inputs VB2 210 to VBN 218 in FIG. 2.



FIG. 7 illustrates a self-adjusting bias supply that may be employed to bias the FETs of a FET stack. As in FIG. 2, a signal input 206 is coupled to the gate of a signal-input FET M1 204. The source of the FET M1 204 is coupled to VdREF 202, while its drain is coupled in series with each subsequent FET of the stack, including M2 208 . . . MN 216. The drain of the last FET of the stack, MN 216, is coupled to Vdrive 224. To provide a bias voltage that reflects Vdrive 224, a diode (or equivalent) DB 702 charges a bias supply capacitor CB 704 to Vbias 706. Vbias will charge to approximately Vpeak, the peak value of Vdrive 224 with respect to VdREF 202. If a time constant associated with CB 704 is sufficiently long, then Vbias will remain substantially at this value. The time constant is the product of the capacitance of CB 704 multiplied by the resistance, to VdREF 202, of the resistive voltage divider having N resistors including RB1 708, RB2 710, . . . , and RBN 712. The total resistance of this voltage divider may be designated RBsum.


With respect to Equations 1, 2 and 3 that are set forth above, “X” represents the position of the particular FET in a stack, and N represents the total number of FETs in such stack. Assuming that all FETs are approximately identical, it may be seen that:

RB1=RB2= . . . =RB(N-1)  (Eqn. 4), and, accordingly,
RBN=(N−1)RB1  (Eqn. 5).


In view of equations 1-5, it may be seen that, for the last FET of the stack (X=N),

(CGX+COXX)=COX(N−1)/(N−2)  (Eqn. 6),
RBX(equiv)=RB1(N−1)/2  (Eqn. 7), and
RB1≥20(N−2)/[COX(N−1)2f0]  (Eqn. 8).

Thus, for N=3, RB1≥5/COX/f0, and RB1 declines monotonically as N increases (for given values of COX & f0).


The total resistance RBsum of the resistive divider described above, in which the lower (N−1) resistors are RB1 and the top (or Nth) resistor is the sum of the lower resistors, is simply 2(N−1)RB1. The ripple on Vbias 706 may be acceptably low if the time constant CB(RBsum)≥10/f0. Coupling that criteria with Eqn. 8 yields

CB≥COX(N−1)/(N−2)/4  (Eqn. 9).

Thus, for N=3, CB≥COX/2. As N increases, smaller values of CB (with respect to COX) will be required to achieve the same ripple voltage.


A significant ripple voltage is not necessarily a problem, and CB may desirably assume even smaller values if rapid self-adjustment response is required. Indeed, in view of the filtering effected by each gate bypass capacitor CGX in conjunction with RBX(equiv), an average value is the main consideration for Vbias. However, if the average value of Vbias is permitted to decline significantly below Vpeak for any reason, including the presence of substantial ripple on CB, the skilled person will understand that the resistive divider values should be adjusted accordingly.



FIG. 8 illustrates an alternative for providing both bias and gate coupling for FETs M3 and above (X≥3). A reference 802 is coupled to the source of a signal-input FET M1 804, the gate of which is coupled to an input signal 806. The drain of M1 804 is coupled to the source of a second FET M2 808. A bias voltage is applied to a bias input 810, which is coupled via a bias resistance RB 812 to the gate of M2 808, and to a relatively large capacitance CG2 814. The drain of M2 808 is coupled to the source of a third FET of the stack, M3 816. The drain of M3 816 may be coupled to a further FET stage, if present. However, the drain of the FET of the last stage, M3 816 as shown in FIG. 8, is coupled to an output node Vdrive 818.


The gate 820 of FET M3 816 may be coupled to the base of the preceding stage FET M2 808 via a zener diode DZ 822. DZ 822 may have a conduction threshold knee at approximately the maximum desired value for VDS of M3 816. (A circuit operating similarly to a zener diode may be used instead of DZ 822.) Additional FET stages designated by subscripts “Y” may be added. For such additional stages, corresponding additional zener diodes may be employed in like manner as DZ 822, i.e., anode to the gate of additional FET MY, and cathode to the gate of M(Y-1).


VI.A. Alternative Stacked FET Switch Configurations and Extensions

The FET stacks described above with respect to FIGS. 1-8 employ N-channel FETs (N-FETs). P-channel FET (P-FET) stacks may be fabricated analogously, by reversing the polarity of each voltage and polarized component associated with the stack. The P-FET stack reference voltage will generally be coupled to the source of a first, signal-input FET MP1. Such inverted circuits will operate according to substantially the same principles as the N-FET stack circuits described above. For example, Vdrive 818 may be negative with respect to reference 802 in FIG. 8 if all FETs are P-channel, and the zener DZ 822 connection is reversed (anode and cathode exchanged).



FIG. 9 is an exemplary circuit that employs both an N-channel FET stack comprising N-channel FETs MN1 902, MN2 904 and MN3 906, plus a P-channel FET stack comprising P-channel FETs MP1 908, MP2 910 and MP3 912. For Class D operation, an input square wave may be provided with respect to common 914 at the input 916 to the N-FET stack, and coupled to an input for the P-FET stack on the gate of MP3 912 via a capacitor CGP1 918. A bias voltage, set for example to one half of VGS(on) below the P-FET stack reference VDD 930, may be provided for MP1 908 via a bias resistor RBP1 920. Alternatively, the capacitor CGP1 918 and the bias resistor RBP1 920 may be deleted, and the input 916 and the gate of MP1 908 may each be driven, instead, by means of an appropriate non-overlap clock generator (not shown).


Control of the N-FETs MN2 904 and MN3 906 is substantially as described with respect to FIG. 2 (for N=3). The gate of MN2 904 is coupled to common (i.e., decoupled) via a capacitor CGN2 922 having a relatively large value, and may be biased to about (VDD/3) volts via a bias resistor RBN2 924. The gate of MN3 906 is decoupled to common via a capacitor CGN3 926 having a value calculated as described with respect to FIG. 2, and may be biased to (VDD/2) volts via a bias resistor RBN3 928.


The P-FET stack is controlled analogously as the N-FET stack. The polarities of the bias voltages are inverted, and referenced to the “reference voltage” of the P-FET stack, which in this case is VDD 930. For purposes of capacitively decoupling the P-FET gates, the fact that the P-FET reference voltage is VDD 930 is likely to make little difference, because VDD is typically closely coupled to the circuit common 914 that is the reference for the N-FETs. Therefore, decoupling capacitors 932 and 936 may alternatively be connected to circuit common 914. As shown, however, the gate of MP2 910 is decoupled to VDD via a relatively large capacitor CGP2 932, and biased to about ⅔ VDD via a bias resistor RBP2 934. The gate of MP3 912 is decoupled to VDD via a capacitor CGP3 936. The value of CGP3 936 may be calculated as described with respect to FIG. 2 for X=3 and N=3. The gate of MP3 912 is biased to about VDD/2 via a bias resistor RBP3 938.


An output voltage Vdrive 940 will be driven between common and VDD, according to whether the N-FET stack is conducting or the P-FET stack is conducting. The output Vdrive 940 may be shunt filtered by a shunt filter 950, and may be processed by a matching and coupling circuit 960, as described below in more detail with respect to FIG. 10. From the matching and coupling circuit 960 the signal may proceed to an antenna 942, typically via a transmission line, one or more further filter sections, and an RF switch (not shown).


The shunt filter 950 of FIG. 9 may be similar to that shown in FIG. 4, or that shown in FIG. 6. The matching and coupling circuit 960 of FIG. 9 may, for example, be similar to that shown in FIG. 3. However, FIG. 10 illustrates filtering that may be employed for both blocks 950 and 960 in the circuit of FIG. 9. The capacitor CS 952 may serve as the shunt filter 950. The remainder of FIG. 10 may function as the matching and coupling circuit 960 of FIG. 9. An inductor LC 954 may comprise a physical coupling connection. A coupling capacitor CC 962 serves to block DC. LA 964, CA 966, LB 968 and CB 970 may be configured for matching to the output impedance ZOUT, which is typically 50 ohms.


VII. Monolithically Integrated, Medium Power Dual-Band RF Transceiver

An RF transceiver, such as the dual-band RF transceiver represented in FIG. 12, typically includes a received-signal amplifier such as items 1226 or 1256 of FIG. 12. Such a received-signal amplifier is typically a low noise amplifier (LNA), and is employed to condition signals received from the antenna. An RF front end may be considered to be an RF transceiver circuit that does not necessarily include an LNA.


In most RF transceivers, discrete integrated circuits must be combined in a module to fabricate a complete RF front-end section. Typically, at least the antenna switch will be fabricated on a different, separate integrated circuit (IC) from the PA, and often many more discrete integrated circuits must be connected via off-chip wiring to fabricate an RF front end module. Each such discrete integrated circuit must be defined by particular performance requirements which ensure that the module functions properly even when the discrete integrated circuits which it comprises are from different lots, or have been designed and manufactured differently from other integrated circuits that perform the same tasks. Such performance requirements, which are thus developed to achieve mix-and-match flexibility and reliability, may well exact a cost for the discrete ICs that are combined in these devices.


PAs in multiple-IC transceiver modules typically produce a signal of substantial power on demand. An antenna switch unit couples an antenna (more precisely, an antenna connection) to either a transmit signal matched to the expected antenna impedance (e.g., 50 ohms), or to a receive signal input. However, damage to the antenna connection or the antenna may cause the impedance reflected to the antenna connection point from the antenna connecting line to vary drastically from its expected value. In such event, a large voltage standing wave (VSW) may be caused by the resulting mismatch between that reflected impedance, and the expected value to which the transmit signal has been matched. Voltage excursions much larger than those expected during normal operation may be generated as a consequence of such mismatch-induced VSWs. Voltage withstand requirements for antenna switches are typically set much higher than normal peak operating voltages to avoid damage under such mismatch conditions.


The IC area occupied by switching devices (such as FETs) in a power-switching circuit, such as an antenna switch, may increase as the square of the voltage they are capable of withstanding. Thus, halving the required withstand voltage may reduce the switch device area to one fourth. Moreover, because these devices dominate the IC area used by an antenna switching circuit, a very substantial saving in IC area (and thus in manufacturing cost) may be realized by reducing their required withstand voltage. Such reduction may not be practical when discrete ICs must be coupled to fabricate an entire transceiver. However, a single IC that includes all devices from a PA, through an antenna switch, and to an antenna connection, may take advantage of reliable internal coupling and close device matching to protect against high mismatch-induced VSWs. Due to these advantages of integration, substantial savings in device area can be realized as compared to combining discrete ICs to fabricate a comparably-performing transceiver.



FIG. 12 is a simplified block schematic diagram of the primary RF sections of a dual-band transceiver that is configured to benefit from such internal protection. A low-level signal at a first operating frequency fO1 is coupled to an input node 1202 from a source which may (but need not) be on the same IC chip. The signal is amplified through any suitable amplifier, as indicated by amplifier 1204. The signal produced by the amplifier 1204 may deviate considerably from a preferred rectangular shape if a pulse adjustment circuit 1500 is provided to improve rectangularity, and preferably to also adjust the duty cycle, of the waveform that is ultimately coupled into a power amplifier (PA) 1206.


The output of the pulse adjustment circuit 1500 is the input to the PA 1206, which draws power from a supply VDD 114 via supply conditioning elements, including a series regulator 1400 and an RF choke (RFC) LS 108, to generate a PA output signal. The PA output signal has a characteristic impedance resulting from the input signal, the PA circuit elements, and the supply conditioning elements, and generally differs from the impedance expected at the antenna node 1214. A coupling, matching and filtering network may be needed, for example as represented by a block 1210. Such a network may couple the PA output signal to the antenna switch while blocking DC current, and may transform the PA output impedance to the desired antenna node impedance (e.g., 50 ohms). It may also filter undesirable signal components, such as harmonics of fO1, from the PA output signal before coupling it to an “A” input of an antenna switch 1700. If separate grounds are maintained as a matter of design preference, then the output of the coupling, matching and filtering block 1210 may be referenced to a ground reference 112, which may be distinguishable from a circuit common reference 104 used elsewhere in the circuit. The antenna switch 1700 selectably couples the signal to the antenna node 1214, from whence it may be coupled, for example by transmission line, to an antenna that may be separated from the IC chip.


Availability of the antenna connection of the antenna switch on the same IC chip as the PA (and all intervening circuitry) provides an opportunity to reliably limit the maximum electrical stress that must be endured by the antenna switch circuitry, by the PA, or by coupling, matching or filtering elements. An output sensor 1600 may be coupled to the antenna node 1214, sensing the electrical stress and providing a signal that will cause the PA to reduce its output if the electrical stresses are excessive. To this end, the output 1220 of output sensor 1600 is coupled to an input “B” of a PA control block 1300. An “A” input 1224 to the PA control block 1300 may receive an amplitude control signal to adjust the envelope amplitude of the PA output signal. This input may also be used to restrict, or even to terminate, output from the PA. Both the “A” and “B” inputs may affect an output “D” that is coupled from the PA control block 1300 to the series regulator block 1400. A “C” input 1222 to the PA control block 1300 may be provided with information, or a signal, that controls an “E” output from the block 1300. The “E” output may be coupled to the pulse adjustment circuit 1500 to control the duty cycle of the rectangular wave that is input to the PA 1206. Duty cycle control may, for example, provide another means to reduce the power level of the PA output signal. The signal path from 1202 may be tuned for a first band of operating frequencies, which include fol.


The antenna switch 1700 may selectably decouple the antenna node 1214 from the first-band transmit signal on input “A,” and couple the antenna node instead to output “B” so as to deliver a signal received from the antenna to a receive preamplifier 1226 for a first receive band. The receive preamplifier 1226 (as well as 1256) is preferably a low noise amplifier (LNA). LNAs are not necessarily included in integrated front ends as described herein, though they typically are included in complete transceiver circuits. The output from receive preamplifier 1226, if present, may be delivered to further first receive band circuitry either on or off the same IC chip. The antenna switch 1700 may similarly selectably couple the antenna node 1214 to a second receive band preamplifier 1256 to amplify a signal from the antenna to a second receive band output node 1258. That output may be delivered to further second receive band circuitry either on or off the IC chip.


Similarly as described above with respect to the first transmit band circuitry, a transmit signal at a second operating frequency foe in a second operating frequency band may be provided to an input 1232, and amplified by an amplifier 1234. The duty cycle and waveform of the signal output from the amplifier 1234 may be conditioned by a pulse adjustment 1501 under control of a PA control block 1301, and then delivered as an input to a second band PA 1207. The second band PA 1207 will generate a second-band PA output signal using power that is provided from VDD 114, as limited by a series regulator 1401 under control of the PA control block 1301, via an RF choke 109. The second-band PA output will have a characteristic impedance, and will be coupled to the “D” input of the antenna switch 1700 via a block 1211 that couples the signal, matches the PA output and antenna node impedances, and filters the output signal. The antenna switch 1700 may be controlled to couple the “D” input to the antenna node 1214, from whence the signal will be delivered to the antenna 1216. The output 1220 of the output sensor 1600 may be coupled also to a “B” input to the second-band PA control block 1301, whereby excess output voltage will cause the second-band PA output signal to be reduced to safe levels. The second-band PA control block 1301 may also accept an envelope-control signal at an “A” input 1254, as well as a duty-cycle control signal at a “C” input 1252.


Though not shown, control circuitry is preferably enabled only when the associated PA is active. Exemplary circuitry for a PA control block, such as 1300 or 1301, is shown in FIG. 13. Exemplary circuitry for a series regulator, such as 1400 or 1401, is shown in FIG. 14. Exemplary circuitry for a pulse adjustment circuit, such as 1500 or 1501, is shown in FIG. 15. The PAs 1206 or 1207 may be fabricated as described for Driver Elements 200 of FIG. 1, together with a shunt filter, such as block 400 of FIG. 1 or the appropriate elements of FIG. 11. Coupling, matching and filtering circuits 1210 and 1211 may be fabricated as described above for FIG. 3, or FIG. 10, or in any other manner to obtain similar coupling, matching and filtering effects. Note that if a circuit as shown in FIG. 11 is to be employed, the coupling capacitor 302 will be disposed before the shunt filter, and the matching and filtering will be provided subsequently, as in block 990.



FIG. 13 illustrates exemplary circuitry for a PA control block 1300. An enable input “A” 1302 may be coupled directly to a FET 1304, such that if input 1302 is approximately ground potential, an output “D” 1306 can draw no current. Conduction into the output “D” 1306 may control the PA output power via a series regulator, such as shown in FIG. 14, such that when output 1306 conducts no current, no current will be provided to the PA, reducing output power to zero as discussed below with respect to FIG. 14. FET 1308 is biased by resistors 1310 and 1312, which may have equal values, a nominal value such as 30-50 kΩ being selected for engineering convenience. This configuration protects low-voltage FETs, ensuring that VGD, VGS, and VDS for all of FETs 1304, 1308 and 1314 do not significantly exceed VDD/2.


A power sense input “B” 1316 may be coupled to resistor 1318. Resistor 1318 may be about 30-50 kΩ, and reasonably equal to a resistor 1320 to establish unity gain for op amp 1322. A power set input “C” 1324 may be set, in one embodiment, from 0V to 2*Vth (FET threshold voltage), where Vth may be 0.4 to 0.7V, nominally about 0.5V, and is consistent within the circuit. The noninverting input of op amp 1322 is prevented from exceeding this voltage range by means of a resistor 1326 (e.g., 30-50 kΩ) together with diode-connected FETs 1328 and 1330, thus limiting the maximum power that may be selected. The skilled person may adjust circuit values, and circuit design, so as to achieve a selectable output power up to a fixed circuit design maximum. In particular, one or both diode-connected FETs 1328 and 1330 may be replaced by a network that includes a bandgap reference, for example to increase accuracy of power settings and output voltage limits. Many other techniques may be employed to achieve similar effects. When power sense input “B” 1316 exceeds a value established by the power set input voltage, FET 1314 will cease conducting, precluding conduction into output “D” 1306.


The PA control block 1300 also provides an output “E” 1512 to control the duty cycle adjustment effected by the pulse adjustment circuit 1500 of FIG. 12. A reference voltage, which may be adjustable according to factors such as fabrication process parameters, is provided at an input 1332. This voltage is doubled by an op amp 1334 under control of equal-valued resistors 1316 and 1318. Of course, in other embodiments the gain of circuitry such as shown in FIG. 13 will likely be different, and resistors setting such gains, for example 1316 and 1318, will accordingly differ in value. By reducing the duty cycle somewhat, the PA output power may be correspondingly reduced, and by reducing it to zero the PA output may be suppressed entirely. A reference voltage provided to an input 1322 of an amplifier 1320, the gain of which may be controlled in the usual manner by resistors 1324 and 1326, may serve to establish the voltage at output “E” 1512 to control duty cycle of the output of block 1500.



FIG. 14 illustrates an exemplary series regulator circuit 1400 for limiting the effective voltage provided to the PA, and thus limiting the PA output amplitude. The voltage provided to input 1306, as compared to VDD, is divided via resistors 1406 and 1404 to control a P-channel FET 1402 and also protect FET 1402 from excessive voltage between any two nodes. A P-channel FET 1408 is biased by resistors 1410 and 1412 so as to divide maximum voltages that are generated between VDD and an output 1414, somewhat equally between FETs 1402 and 1408. The output 1414 provides power to the PA via an RF choke. The FETs 1402 and 1408 may, for example, have VGS threshold voltages of between −0.4V and −0.7V. Resistors 1404, 1406, 1410 and 1412 may all be substantially equal, with a magnitude selected for engineering convenience to be, for example, 30-50 kΩ. These exemplary values and relative values may be varied for engineering convenience.



FIG. 15 is a schematic representation of an exemplary signal conditioning circuit 1500. An input signal may be provided on an input node 1502, and coupled via a diode-connected FET 1504 to the input of an inverter 1506. When the input signal voltage, plus the VDS threshold of FET 1504, is less than the threshold of the inverter 1506, the output of inverter 1508 will be low. However, when the voltage of the input signal rises above this value, the FET 1504 will cease conducting. Thereafter, even if the voltage of input 1502 is quite high (e.g., VDD), current from the input will be limited by P-channel FET 1510 under control of an input voltage 1512. Such current through the FET 1510 must charge a capacitor 1514 (which may be a metal-insulator-metal or “MIM” capacitor of about 0.025 to 0.05 pF) until the input to inverter 1506 rises above its switching threshold. At that point the inverters 1506 and 1508 will change state rapidly due to positive feedback via the capacitor 1514, providing square edges at an output node 1516, rise and fall times being limited primarily by delays through the inverters 1506 and 1508. If the signal at the input 1502 is a roughly rectangular wave of about 50% duty cycle, as in a preferred embodiment, the voltage at the control input 1512 may be adjusted such that the output duty cycle is reduced from 50% to an arbitrarily lower value that may reach zero. The input signal may be configured to have a duty cycle exceeding 50% if a wider range of output duty cycle is desired.



FIG. 16 is a schematic of exemplary circuitry 1600 for sensing peak voltage at a sense node 1602, which may for example be connected directly to antenna node 1214 of FIG. 12. An input divider may be used as shown to sense relatively high voltages. Four roughly equal resistors 1604, 1606, 1608 and 1610 having a relatively low resistance, such as 1 kΩ, may be used. Diode-connected FET 1612 conducts when this voltage is high, providing current through a resistor 1614 of about 24 kΩ to a capacitor 1616 of about 1 pF. Many other values may be used, so long as the time constant established by resistor 1614 and capacitor 1616 is much smaller than the duration of any event that could cause the output voltage to rise (for a given level of PA output signal). For example, an antenna mechanical event that caused the antenna impedance to vary drastically from the design value, thereby causing a high voltage standing wave to appear, will take at least milliseconds to occur. The time constant of approximately 24 nS of the exemplary circuit 1600 is well below such an event duration. However, the corner frequency due to these components should generally be well below both the first and second band operating frequencies fO1 and fO2, in order to avoid circuit oscillations. If event durations may approach 1/fO, then other common circuit design considerations may require a more complicated circuit to avoid oscillation while ensuring that the response is sufficiently fast to prevent excessive voltages.



FIG. 17 is a simplified schematic of exemplary circuitry 1700 for an antenna switch. Further details regarding design and fabrication of such an RF switch may be found in U.S. Pat. No. 6,804,502, issued Oct. 12, 2004 and entitled “Switch Circuit and Method of Switching Radio Frequency Signals.” Circuitry to provide control signals is not shown. Moreover, the control voltages should preferably be either “high,” nearly VDD, or “low” at nearly −VDD. To generate −VDD control voltages, a negative voltage generator will be helpful, preferably a low-noise circuit such as described in copending, published U.S. patent application Ser. No. 10/658,154, filed Sep. 8, 2003 and entitled “Low Noise Charge Pump Method and Apparatus.” Such a low-noise charge pump is important for avoiding unintended emissions from the antenna.


A port node 1780 is the common connection of the switch 1700. In FIG. 12, the common connection of the switch 1700 is coupled to the antenna node 1214. The common connection is generally coupled to only one RF port (port A 1710, port B 1730, port C 1750, or port D 1770) at a time. Each RF port has a corresponding “+” control node and a corresponding “−” control node. For ports A, B, C and D, the “+” control nodes are nodes 1708, 1728, 1748 and 1768, respectively, while the “−” control nodes are nodes 1718, 1738, 1758 and 1778, respectively.


To couple an RF port to the common connection, a “high” voltage (˜VDD) is applied to the port's corresponding “+” control node, while a “low” voltage (˜−VDD) is applied to the port's corresponding “−” control node. Meanwhile, a “low” voltage is applied to each “+” control node corresponding to another RF port, and a “high” voltage is applied to each “−” control node corresponding to another RF port. Thereby, a selected RF port will be coupled to the common connection, while every other RF port will be coupled to ground. Thus, to couple RF port A 1710 to common connection 1780, a “high” voltage is applied to control nodes 1708, 1738, 1758, and 1778, while a “low” voltage is applied to all other control nodes (1718, 1728, 1748 and 1768).


Every resistor will typically have the same value. In some embodiments, the value will be roughly 30-50 kΩ. The resistor is selected such that the time constant of the parasitic gate capacitance of a FET (e.g. M1A 1701), in conjunction with the value of its corresponding gate resistor (e.g. 1704) is much greater than 1/fO, where fO is the lowest significant frequency of the RF signal being controlled. The illustrated configuration serves to divide the voltage appearing across FET stacks (such as the stack consisting of FETs M1A, 1701, M1B 1702 and M1C 1703, the stack consisting of FETs M2A, 1704, M2B 1705 and M2C 1706, and so on) uniformly, reducing compression effects. The FET stacks (such as FETs 1701, 1702 and 1703) that provide the switching functions may include more or less than the three devices that are shown for illustration; stacks of at least nine devices have been successfully fabricated. Due to the voltage stress distribution uniformity, a wide range of signal voltages and fabrication process parameters may be accommodated.


Integrated Circuit Fabrication and Design


Integrated circuit fabrication details are not provided in the above description. In some preferred embodiments, including some which have output powers in excess of 1 W at around 2.4 GHz, the integrated circuits may be fabricated in accordance with ultrathin silicon on sapphire processing as described in U.S. Pat. No. 5,663,570, issued Sep. 2, 1997 and entitled “High-Frequency Wireless Communication System on a Single Ultrathin Silicon On Sapphire Chip.” Other semiconductor-on-insulator (SOI) techniques may be used to fabricate a dual-band transceiver integrated circuit as described above, for at least some frequency bands and power levels.


The preferred integrated circuit fabrication techniques described above readily produce FETs having a rather low maximum VDS. Accordingly, various techniques are described for stacking FETs to achieve control of higher voltages while maintaining consistent processing. Using other manufacturing techniques, or lower voltages and impedances, a need for cascode or multiply-stacked FETs may be avoidable.


CONCLUSION

The foregoing description illustrates exemplary implementations, and novel features, of a method and apparatus that employs stacked transistors to control conduction between a pair of nodes in an integrated circuit. The skilled person will understand that various omissions, substitutions, and changes in the form and details of the methods and apparatus illustrated may be made without departing from the scope of the invention. Numerous alternative implementations have been described, but it is impractical to list all embodiments explicitly. As such, each practical combination of the apparatus or method alternatives that are set forth above, and/or are shown in the attached figures, constitutes a distinct alternative embodiment of the subject apparatus or methods. Each practical combination of equivalents of such apparatus or method alternatives also constitutes a distinct alternative embodiment of the subject apparatus or methods. Therefore, the scope of the presented invention should be determined only by reference to the appended claims, and is not to be limited by features illustrated in the foregoing description except insofar as such limitation is recited, or intentionally implicated, in an appended claim.


It will be understood that similar advantages of integration will accrue to circuits having other functional blocks. For example, mixers may be incorporated on such a device, enabling integration of more portions of transmission signal processing. Phase locked loops may further enhance the ability to generate the transmission signal on the same monolithic IC as the RF front end or transceiver. Additional types of filters may be useful, for either or both of receive and transmission processing.


All variations coming within the meaning and range of equivalency of the various claim elements are embraced within the scope of the corresponding claim. Each claim set forth below is intended to encompass any system or method that differs only insubstantially from the literal language of such claim, if such system or method is not an embodiment of the prior art. To this end, each described element in each claim should be construed as broadly as possible, and moreover should be understood to encompass any equivalent to such element insofar as possible without also encompassing the prior art.

Claims
  • 1. A radio frequency (RF) circuit comprising: a) an input node to accept an input signal, the input node coupled to a first gate of a first MOSFET, a source of the first MOSFET being connected to a reference voltage;b) a plurality of additional MOSFETs, each with a corresponding gate connected in series with the first MOSFET to form a transistor stack, the first MOSFET being a bottom transistor of the transistor stack, the plurality of additional MOSFETs comprising a top transistor of the transistor stack, andc) a predominantly capacitive element connected directly between each gate of the plurality of additional MOSFETs and the reference voltage, wherein both RF and DC voltages are divided across the transistor stack, and wherein each additional MOSFET is associated to corresponding bias resistors coupled to the corresponding gates of the additional MOSFETs and to corresponding bias voltages.
  • 2. The RF circuit of claim 1, wherein the additional MOSFETs are coupled to corresponding gate capacitors coupled to the gate of each additional MOSFET and to a ground reference, andRF voltage divided across each additional MOSFET of the transistor stack is determined by values of the corresponding gate capacitors.
  • 3. The RF circuit of claim 2, wherein the RF and DC voltages divided across the additional MOSFETs of the transistor stack are controllable by the corresponding bias voltages and gate capacitors, respectively, andthe RF and DC voltages divided across any one of the additional MOSFETs of the transistor stack are independently controllable.
  • 4. The RF circuit of claim 3, wherein the RF and DC voltages divided across each of the additional MOSFETs of the transistor stack are approximately equal.
  • 5. The RF circuit of claim 3, wherein the RF and DC voltages across any one of the additional MOSFETs are independently controllable by setting corresponding bias voltage and gate capacitance values.
  • 6. The RF circuit of claim 2, wherein the RF and DC voltages divided across any one of the additional MOSFETs of the transistor stack have any selected value.
  • 7. The RF circuit of claim 1, wherein the first MOSFET and the additional MOSFETs are fabricated in a silicon layer of a silicon-on-insulator (SOI) substrate.
  • 8. The RF circuit of claim 7, wherein sources and drains of the first MOSFET and the additional MOSFETs extend through an entire thickness of the silicon layer and to an insulating layer of the SOI substrate.
  • 9. The RF circuit of claim 1, wherein the RF and DC voltage are divided approximately equally across the first MOSFET and each additional MOSFETs of the transistor stack.
  • 10. The RF circuit of claim 1, wherein the RF and DC voltages are divided unequally across the first MOSFET and each additional MOSFET of the transistor stack.
  • 11. The RF circuit of claim 1, further comprising an output filter section connected in series with a matching, coupling and filtering circuit and disposed between the matching, coupling and filtering circuit and an RF switch.
  • 12. The RF circuit of claim 11, wherein the RF switch is configured to connect the output filter section to the external antenna when the RF switch is in a conducting state.
  • 13. The RF circuit of claim 11, wherein the matching, coupling and filtering circuit is on-chip.
  • 14. The RF circuit of claim 11, wherein the matching, coupling and filtering circuit is off-chip.
  • 15. The RF circuit of claim 11, wherein the matching, coupling and filtering circuit is fabricated as a combination of both on-chip and off-chip elements.
CLAIMS OF PRIORITY—INCORPORATION BY REFERENCE

This application is a continuation of co-pending and commonly assigned U.S. application Ser. No. 16/891,519, filed Jun. 3, 2020 and entitled “Integrated RF Front End with Stacked Transistor Switch”, to issue as U.S. Pat. No. 11,070,244 on Jul. 20, 2021, which is a continuation of commonly assigned U.S. application Ser. No. 16/515,967, filed Jul. 18, 2019 and entitled “Integrated RF Front End with Stacked Transistor Switch”, now U.S. Pat. No. 10,715,200 issued on Jul. 14, 2020, which is a continuation of commonly assigned U.S. application Ser. No. 15/917,218, filed Mar. 9, 2018 and entitled “Integrated RF Front End with Stacked Transistor Switch”, now U.S. Pat. No. 10,374,654 issued on Aug. 6, 2019, which is a divisional of commonly assigned U.S. application Ser. No. 15/586,007, filed May 3, 2017 and entitled “Integrated RF Front End with Stacked Transistor Switch” now U.S. Pat. No. 9,966,988 issued on May 8, 2018, which is a continuation of commonly assigned U.S. patent Ser. No. 14/052,680, filed Oct. 11, 2013 and entitled “Integrated RF Front End with Stacked Transistor Switch”, now U.S. Pat. No. 9,680,416, issued on Jun. 13, 2017, which is a continuation of commonly assigned U.S. patent application Ser. No. 13/412,463, filed Mar. 5, 2012 and entitled “Integrated RF Front End with Stacked Transistor Switch”, now U.S. Pat. No. 8,559,907 issued on Oct. 15, 2013, which is a continuation application of U.S. patent application Ser. No. 11/501,125, filed Aug. 7, 2006 and entitled “Integrated RF Front End with Stack Transistor Switch”, now U.S. Pat. No. 8,131,251, issued on Mar. 6, 2012, which is a continuation of commonly assigned U.S. patent application Ser. No. 11/158,597 filed Jun. 22, 2005, now U.S. Pat. No. 7,088,971, issued Aug. 8, 2006 and entitled “Integrated RF Front End,” which is a continuation-in-part of commonly assigned U.S. patent application Ser. No. 10/875,405 filed Jun. 23, 2004, now U.S. Pat. No. 7,248,120, issued Jul. 24, 2007, and entitled “Stacked Transistor Method and Apparatus,”; and this continuation application is related to the following commonly owned U.S. patent documents: U.S. Pat. No. 5,663,570, issued Sep. 2, 1997 and entitled “High-Frequency Wireless Communication System on a Single Ultrathin Silicon On Sapphire Chip,” U.S. Pat. No. 6,804,502, issued Oct. 12, 2004 and entitled “Switch Circuit and Method of Switching Radio Frequency Signals,” and U.S. Pat. No. 7,719,343 issued May 18, 2010, and entitled “Low Noise Charge Pump Method and Apparatus”; and the entire contents of each of the above-cited U.S. patent applications and issued U.S. patents are hereby incorporated herein in their entireties by reference.

US Referenced Citations (364)
Number Name Date Kind
3469212 Georg et al. Sep 1969 A
3470443 Berry et al. Sep 1969 A
3699359 Shelby Oct 1972 A
3731112 Smith May 1973 A
3878450 Greatbatch Apr 1975 A
3942047 Buchanan Mar 1976 A
3943428 Whidden Mar 1976 A
3955353 Astle May 1976 A
3975671 Stoll Aug 1976 A
4016643 Pucel et al. Apr 1977 A
4047091 Hutchines et al. Sep 1977 A
4053916 Cricchi et al. Oct 1977 A
4061929 Asano Dec 1977 A
4068295 Portmann Jan 1978 A
4079336 Gross Mar 1978 A
4106086 Holbrook et al. Aug 1978 A
4186436 Ishiwatari Jan 1980 A
4241316 Knapp Dec 1980 A
4244016 Mitchell Jan 1981 A
4316101 Minner Feb 1982 A
4321661 Sano Mar 1982 A
4460952 Risinger Jul 1984 A
4485433 Topich Nov 1984 A
4528517 Schlotzhauer Jul 1985 A
4621315 Vaughn et al. Nov 1986 A
4633106 Backes et al. Dec 1986 A
4679134 Bingham Jul 1987 A
4703196 Arakawa Oct 1987 A
4752699 Cranford et al. Jun 1988 A
4769784 Doluca et al. Sep 1988 A
4777577 Bingham et al. Oct 1988 A
4797899 Fuller et al. Jan 1989 A
4825145 Tanaka et al. Apr 1989 A
4839787 Kojima et al. Jun 1989 A
4847519 Wahl et al. Jul 1989 A
4883976 Deane Nov 1989 A
4897774 Bingham et al. Jan 1990 A
4999585 Burt et al. Mar 1991 A
5012123 Ayasli et al. Apr 1991 A
5029282 Ito Jul 1991 A
5032799 Milberger et al. Jul 1991 A
5038325 Douglas et al. Aug 1991 A
5041797 Belcher et al. Aug 1991 A
5068626 Takagi et al. Nov 1991 A
5081371 Wong Jan 1992 A
5111375 Marshall Mar 1992 A
5126590 Chern Jun 1992 A
5138190 Yamazaki et al. Aug 1992 A
5146178 Nojima et al. Sep 1992 A
5193198 Yokouchi Mar 1993 A
5212456 Kovalcik et al. May 1993 A
5306954 Chan et al. Apr 1994 A
5313083 Schindler May 1994 A
5331221 Ganesan et al. Jul 1994 A
5375256 Yokoyama et al. Dec 1994 A
5392186 Alexander et al. Feb 1995 A
5392205 Zavaleta Feb 1995 A
5416043 Burgener et al. May 1995 A
5416178 Winter et al. May 1995 A
5422586 Tedrow et al. Jun 1995 A
5446418 Hara et al. Aug 1995 A
5455794 Javanifard et al. Oct 1995 A
5465061 Dufour Nov 1995 A
5492857 Reedy et al. Feb 1996 A
5519360 Keeth May 1996 A
5535160 Yamaguchi Jul 1996 A
5548239 Kohama Aug 1996 A
5553021 Kubono et al. Sep 1996 A
5553295 Pantelakis et al. Sep 1996 A
5572040 Reedy et al. Nov 1996 A
5589793 Kassapian Dec 1996 A
5596205 Reedy et al. Jan 1997 A
5600169 Burgener et al. Feb 1997 A
5663570 Reedy et al. Sep 1997 A
5672992 Nadd Sep 1997 A
5677649 Martin Oct 1997 A
5698877 Gonzalez Dec 1997 A
5732334 Miyake Mar 1998 A
5734291 Tasdighi et al. Mar 1998 A
5757170 Pinney May 1998 A
5774792 Tanaka et al. Jun 1998 A
5777530 Nakatuka Jul 1998 A
5786617 Merrill et al. Jul 1998 A
5801577 Talliet Sep 1998 A
5818099 Burghartz Oct 1998 A
5818283 Tonami et al. Oct 1998 A
5861336 Reedy et al. Jan 1999 A
5863823 Burgener Jan 1999 A
5864328 Kajimoto Jan 1999 A
5883396 Reedy et al. Mar 1999 A
5889428 Young Mar 1999 A
5895957 Reedy et al. Apr 1999 A
5920233 Denny Jul 1999 A
5930638 Reedy et al. Jul 1999 A
5945867 Uda et al. Aug 1999 A
5945879 Rodwell et al. Aug 1999 A
5973363 Staab et al. Oct 1999 A
5973382 Burgener et al. Oct 1999 A
5986649 Yamazaki Nov 1999 A
6057555 Reedy et al. May 2000 A
6064275 Yamauchi May 2000 A
6066993 Yamamoto et al. May 2000 A
6081165 Goldman Jun 2000 A
6090648 Reedy et al. Jul 2000 A
6107885 Miguelez et al. Aug 2000 A
6111462 Mucenieks et al. Aug 2000 A
6130572 Ghilardelli et al. Oct 2000 A
6137367 Ezzedine et al. Oct 2000 A
6191653 Camp, Jr. et al. Feb 2001 B1
6225866 Kubota et al. May 2001 B1
6239657 Bauer May 2001 B1
6242979 Li Jun 2001 B1
6249027 Burr Jun 2001 B1
6249446 Shearon et al. Jun 2001 B1
6281756 Goto et al. Aug 2001 B1
6297696 Abdollahian et al. Oct 2001 B1
6308047 Yamamoto et al. Oct 2001 B1
6310508 Westerman Oct 2001 B1
6316983 Kitamura Nov 2001 B1
6366172 Hayashi et al. Apr 2002 B1
6380802 Pehike et al. Apr 2002 B1
6396352 Muza May 2002 B1
6400211 Yokomizo et al. Jun 2002 B1
6411531 Nork et al. Jun 2002 B1
6449465 Gailus et al. Sep 2002 B1
6452232 Adan Sep 2002 B1
6496074 Sowlati Dec 2002 B1
6504212 Allen et al. Jan 2003 B1
6509799 Franca-Neto Jan 2003 B1
6515547 Sowlati Feb 2003 B2
6518829 Butler Feb 2003 B2
6531739 Cable et al. Mar 2003 B2
6563366 Kohama May 2003 B1
6617933 Ito et al. Sep 2003 B2
6630867 Canyon et al. Oct 2003 B2
6631505 Arai Oct 2003 B2
6632724 Henley et al. Oct 2003 B2
6636114 Tsutsui et al. Oct 2003 B2
6642578 Arnold et al. Nov 2003 B1
6646511 Canyon et al. Nov 2003 B2
6658265 Steel et al. Dec 2003 B1
6661683 Botker et al. Dec 2003 B2
6664861 Murakami Dec 2003 B2
6674323 Kagaya et al. Jan 2004 B2
6693326 Alberto Feb 2004 B2
6704550 Kohama et al. Mar 2004 B1
6731175 Chen May 2004 B1
6734730 Doi May 2004 B2
6788130 Pauletti et al. Sep 2004 B2
6790747 Henley et al. Sep 2004 B2
6804502 Burgener et al. Oct 2004 B2
6816000 Miyamitsu Nov 2004 B2
6816001 Khouri et al. Nov 2004 B2
6825730 Sun Nov 2004 B1
6830963 Forbes Dec 2004 B1
6831847 Perry Dec 2004 B2
6833745 Hausman et al. Dec 2004 B2
6853244 Robinson et al. Feb 2005 B2
6853247 Weldon Feb 2005 B2
6864750 Shigematsu Mar 2005 B2
6882210 Asano et al. Apr 2005 B2
6898778 Kawanaka May 2005 B2
6903596 Geller et al. Jun 2005 B2
6908832 Farrens et al. Jun 2005 B2
6934520 Rozsypal Aug 2005 B2
6943628 Weldon Sep 2005 B2
6969668 Kang et al. Nov 2005 B1
6978437 Rittman et al. Dec 2005 B1
7045873 Chen et al. May 2006 B2
7056808 Henley et al. Jun 2006 B2
7057472 Fukamachi et al. Jun 2006 B2
7058922 Kawanaka Jun 2006 B2
7071786 Inoue et al. Jul 2006 B2
7071858 Pan Jul 2006 B2
7088971 Burgener et al. Aug 2006 B2
7109791 Epperson Sep 2006 B1
7126595 Yanagi et al. Oct 2006 B2
7135929 Costa et al. Nov 2006 B1
7161429 Boreysha et al. Jan 2007 B2
7173491 Bocock et al. Feb 2007 B2
7199635 Nakatsuka et al. Apr 2007 B2
7202712 Athas Apr 2007 B2
7202734 Raab Apr 2007 B1
7215192 Kim et al. May 2007 B2
7248120 Burgener et al. Jul 2007 B2
7248845 Dunn Jul 2007 B2
7276976 Oh et al. Oct 2007 B2
7352247 Oh et al. Apr 2008 B2
7391269 Chiba Jun 2008 B2
7404157 Tanabe Jul 2008 B2
7420412 Kim et al. Sep 2008 B2
7449954 Bocock et al. Nov 2008 B2
7457594 Theobold et al. Nov 2008 B2
7460852 Burgener et al. Dec 2008 B2
7489196 Vaiana et al. Feb 2009 B2
7545216 Harnley Jun 2009 B2
7551032 Dupuis et al. Jun 2009 B2
7551036 Berroth Jun 2009 B2
7554392 Hwa et al. Jun 2009 B2
7554394 Maemura Jun 2009 B2
7564103 Losehand Jul 2009 B2
7586376 Litmanen Sep 2009 B2
7593702 Lie et al. Sep 2009 B1
7616054 Jeon et al. Nov 2009 B2
7616482 Prall Nov 2009 B2
7619462 Kelly Nov 2009 B2
7639068 Mizuno et al. Dec 2009 B2
7649418 Matsui Jan 2010 B2
7679433 Li Mar 2010 B1
7714662 Jeong et al. May 2010 B2
7719343 Burgener May 2010 B2
7786807 Li et al. Aug 2010 B1
7795968 Li et al. Sep 2010 B1
7808342 Prikhodko et al. Oct 2010 B2
7817966 Prikhodko et al. Oct 2010 B2
7868683 Iklov Jan 2011 B2
7868697 Arai Jan 2011 B2
7872533 Adamski et al. Jan 2011 B2
7910993 Brindle et al. Mar 2011 B2
7960772 Englekirk Jun 2011 B2
7961052 Bacon et al. Jun 2011 B2
8081928 Kelly Dec 2011 B2
8093945 Wimpenny Jan 2012 B2
8106711 Adamski et al. Jan 2012 B2
8111104 Ahadian et al. Feb 2012 B2
8129787 Brindle et al. Mar 2012 B2
8130042 Adamski et al. Mar 2012 B2
8131225 Botula et al. Mar 2012 B2
8131251 Burgener et al. Mar 2012 B2
8232627 Bryant et al. Jul 2012 B2
8350624 Lam Jan 2013 B2
8368462 Sharma et al. Feb 2013 B2
8373490 Burgener et al. Feb 2013 B2
8378736 Burgener Feb 2013 B2
8451044 Nisbet et al. May 2013 B2
8487706 Li Jul 2013 B2
8525272 Losehand et al. Sep 2013 B2
8649741 Iijima et al. Feb 2014 B2
8649754 Burgener Feb 2014 B2
8680928 Jeon Mar 2014 B2
8729949 Nisbet May 2014 B2
8749309 Ho Jun 2014 B2
8779859 Su Jul 2014 B2
8901023 Kirsch Dec 2014 B2
8954902 Stuber et al. Feb 2015 B2
8970303 Lam Mar 2015 B2
9000841 Sharma et al. Apr 2015 B2
9019010 Costa Apr 2015 B2
9048898 Han Jun 2015 B2
9087899 Brindle Jul 2015 B2
9106072 Khatri Aug 2015 B2
9129836 Losehand et al. Sep 2015 B2
9136838 Hasson Sep 2015 B2
9143124 Cam Sep 2015 B2
9160292 Olson Oct 2015 B2
9178493 Nobbe Nov 2015 B1
9184709 Adamski Nov 2015 B2
9190902 Burgener Nov 2015 B2
9219445 Nobbe Dec 2015 B2
9225378 Burgener Dec 2015 B2
9276526 Nobbe Mar 2016 B2
9312822 Knopik Apr 2016 B2
9312909 Kang Apr 2016 B2
9331738 Sharma May 2016 B2
9369087 Burgener et al. Jun 2016 B2
9385669 Banerjee Jul 2016 B2
9397656 Dribinsky Jul 2016 B2
9419560 Korol Aug 2016 B2
9438223 de Jongh Sep 2016 B2
9509263 Lam Nov 2016 B2
9531413 Sun Dec 2016 B2
9584097 Bakalski Feb 2017 B2
9590614 Cebi Mar 2017 B2
9654094 Ishimaru May 2017 B2
9673155 Smith Jun 2017 B2
9680416 Burgener et al. Jun 2017 B2
9871512 Ho Jan 2018 B2
9882531 Willard Jan 2018 B1
9887695 Dribinsky Feb 2018 B2
9960737 Kovac May 2018 B1
9966988 Burgener et al. May 2018 B2
10097171 Li Oct 2018 B2
10110168 Soliman Oct 2018 B2
10147724 Madan Dec 2018 B2
10148255 Burgener Dec 2018 B2
10153767 Burgener Dec 2018 B2
10236863 Bacon Mar 2019 B2
10236872 Willard Mar 2019 B1
10374641 Lim Aug 2019 B2
10374654 Burgener et al. Aug 2019 B2
10454432 Soliman Oct 2019 B2
10608617 Burgener Mar 2020 B2
10715200 Burgener et al. Jul 2020 B2
10804892 Dribinsky Oct 2020 B2
11070244 Burgener et al. Jul 2021 B2
11374567 Lilja Jun 2022 B2
20010015461 Ebina Aug 2001 A1
20010036816 Wieck Nov 2001 A1
20010045602 Maeda et al. Nov 2001 A1
20020079971 Vathulya Jun 2002 A1
20020126767 Ding et al. Sep 2002 A1
20030002452 Sahota Jan 2003 A1
20030032396 Tsuchiya et al. Feb 2003 A1
20030090313 Burgener et al. May 2003 A1
20030160515 Yu et al. Aug 2003 A1
20030205760 Kawanaka et al. Nov 2003 A1
20030224743 Okada et al. Dec 2003 A1
20040080364 Sander et al. Apr 2004 A1
20040121745 Meck Jun 2004 A1
20040218442 Kirsch Nov 2004 A1
20050127442 Veeraraghaven et al. Jun 2005 A1
20050140448 Diorio Jun 2005 A1
20050167751 Nakajima et al. Aug 2005 A1
20050264341 Hikita et al. Dec 2005 A1
20050287976 Burgener et al. Dec 2005 A1
20060114731 Park et al. Jun 2006 A1
20060118884 Losehand et al. Jun 2006 A1
20060161520 Brewer et al. Jul 2006 A1
20060199563 Kelly et al. Sep 2006 A1
20060270367 Burgener et al. Nov 2006 A1
20070018247 Brindle et al. Jan 2007 A1
20070018718 Homg et al. Jan 2007 A1
20070023833 Okhonin et al. Feb 2007 A1
20070075784 Pettersson Apr 2007 A1
20070243849 Prikhodko et al. Oct 2007 A1
20070290744 Adachi et al. Dec 2007 A1
20080073719 Fazan et al. Mar 2008 A1
20080076371 Dribinsky et al. Mar 2008 A1
20080191788 Chen et al. Aug 2008 A1
20080303080 Bhattacharyya Dec 2008 A1
20090181630 Seshita et al. Jul 2009 A1
20090029511 Wu Nov 2009 A1
20090278206 Losehand et al. Nov 2009 A1
20100308919 Adamski et al. Dec 2010 A1
20100308921 Adamski et al. Dec 2010 A1
20100327948 Nisbet et al. Dec 2010 A1
20110092179 Burgener et al. Apr 2011 A1
20110095824 Bacon et al. Apr 2011 A1
20110169550 Brindle et al. Jul 2011 A1
20110181360 Li et al. Jul 2011 A1
20120007679 Burgener et al. Jan 2012 A1
20120064952 Iijima et al. Mar 2012 A1
20120086512 Sharma et al. Apr 2012 A1
20120139643 Scott Jun 2012 A1
20120194274 Fowers et al. Aug 2012 A1
20120200338 Olson Aug 2012 A1
20130082782 Leuschner Apr 2013 A1
20130260698 Nisbet et al. Oct 2013 A1
20130293280 Brindle et al. Nov 2013 A1
20130310114 Zohny Nov 2013 A1
20140001550 Losehand et al. Jan 2014 A1
20140179249 Burgener et al. Jun 2014 A1
20150280655 Nobbe Oct 2015 A1
20150303985 Sharma et al. Oct 2015 A1
20160126906 Maxim May 2016 A1
20160191022 Burgener et al. Jun 2016 A1
20170149437 Luo May 2017 A1
20170237462 Burgener et al. Aug 2017 A1
20180062645 Burgener et al. Mar 2018 A1
20190081655 Burgener et al. Mar 2019 A1
20200007088 Ranta Jan 2020 A1
20200014417 Burgener et al. Jan 2020 A1
20200259484 Burgener et al. Aug 2020 A1
20200373962 Burgener et al. Nov 2020 A1
Foreign Referenced Citations (36)
Number Date Country
125652 Jun 2000 CN
0385641 Sep 1990 EP
0788185 Aug 1997 EP
1006584 Jun 2000 EP
1451890 Feb 2011 EP
2348532 Jul 2011 EP
2348533 Jul 2011 EP
2348534 Jul 2011 EP
2348535 Jul 2011 EP
2348536 Jul 2011 EP
2387094 Nov 2011 EP
2830203 Jan 2015 EP
2884586 Jun 2015 EP
3570374 Nov 2019 EP
55-75348 Jun 1980 JP
1-254014 Oct 1989 JP
2-161769 Jun 1990 JP
4-183008 Jun 1992 JP
06-334506 Dec 1994 JP
8-148949 Jun 1996 JP
08-307305 Nov 1996 JP
09-284114 Oct 1997 JP
10-242829 Sep 1998 JP
10-344247 Dec 1998 JP
11-136111 May 1999 JP
2004-515937 Apr 2002 JP
2003-060451 Feb 2003 JP
2003-198248 Jul 2003 JP
WO 9523460 Aug 1995 WO
WO 0227920 Apr 2002 WO
WO03032431 Apr 2003 WO
WO2004086606 Oct 2004 WO
WO2004095688 Nov 2004 WO
WO20070008934 Jan 2007 WO
WO2012054642 Apr 2012 WO
WO2012058122 May 2012 WO
Non-Patent Literature Citations (315)
Entry
Raab, et al., “Power Amplifiers and Transmitters for RF and Microwave”, IEEE Transactions on Microwave Theory and Techniques, vol. 50, No. 3, pp. 814-826, Mar. 2002, USA.
Ueda, et al., “A 5GHz-Band On-Chip Matching CMOS MMIC Front-End”, 11th GAAS Symposium—Munich 2003, pp. 101-104, Germany.
Nelson Pass, Pass Labs, “Cascode Amp Design”, Audio Electrnoics, pp. 1-4, Mar. 1978.
Lester F. Eastman, P.I., “High Power, Broadband, Linear, Solid State Amplifier”, 16th Quarterly Rep. under MURI Contract No. N00014-96-1-1223 for period Jun. 1, 2000-Aug. 31, 2000, Sep. 2000.
Jeon, et al., “A New “Active” Predistorter with High Gain Using Cascode-FET Structures”, IEEE Radio Frequency Integrated Circuits Symposium, 2002, pp. 253-256.
Hsu, et al., “Comparison of Conventional and Thermally-Stable Cascode (TSC) AlGaAs/GaAs HBTs for Microwave Power Applications”, Jrnl of Solid-State Electronics, V. 43, Sep. 1999.
Kim, et al., “High-Performance V-Band Cascode HEMT Mixer and Downconverter Module”, IEEE Transactions on Microwave Theory and Techniques, vol. 51, No. 3, p. 805-810,Mar. 2003.
Mishra, et al., “High Power Broadband Amplifiers for 1-18 GHz Naval Radar” University of California, Santa Barbara, pp. 1-9, Jul. 1, 1998.
Perraud, et al., “A Direct-Conversion CMOS Transceiver for the 802.11a/b/g WLAN Standard Utilizing a Cartesian Feedback Transmitter”, IEEE Journal of Solid-State Circuits, vol. 39, No. 12, Dec. 2004, pp. 2226-2238.
Rohde, et al., “Optic/Millimeter-Wave Converter for 60 Ghz Radio-Over-Fiber Systems”, Fraunhofer-Institut für Angewandte Festkörperphysik Freiburg i. Br., Apr. 1997, pp. 1-5.
Darabi, et al. “A Dual-Mode 802.11b/Bluetooth Radio in 0.35-⋅m CMOS”, IEEE Journal of Solid-State Circuits, vol. 40, No. 3, Mar. 2005, pp. 698-706.
Schlechtweg, et al., “Multifunctional Integration Using HEMT Technology”, Fraunhofer Institute for Applied Solid State Physics, (date uncertain, believed Mar. 1997).
Nguyen, Patricia T., Office Action received from the USPTO dated Oct. 25, 2005 for related U.S. Appl. No. 10/875,405, 7 pgs.
Burgener, et al., Amendment filed in USPTO dated Jan. 25, 2006 for related U.S. Appl. No. 10/875,405, 11 pgs.
Nguyen, Patricia, Office Action received from USPTO dated Apr. 20, 2006 for related U.S. Appl. No. 10/875,405, 10 pgs.
Burgener, et al., Amendment filed in USPTO dated Aug. 21, 2006 for related U.S. Appl. No. 10/875,405, 10 pgs.
Ngyuen, Patricia, Notice of Allowance received from USPTO dated Sep. 27, 2006 for related U.S. Appl. No. 10/875,405, 5 pgs.
Burgener, et al., Comments on Examiner's Statement of Reasons for Allowance dated Dec. 26, 2006 for related U.S. Appl. No. 10/875,405, 3 pgs.
Le, Lana N., Notice of Allowance received from the USPTO dated Sep. 26, 2005 for related U.S. Appl. No. 11/158,597, 10 pgs.
Le, Lana, International Search Report received from USPTO dated Nov. 15, 2005 for related PCT appln. No. PCT/US2005/022407, 10 pgs.
Le, Lana N., Notice of Allowance received from the USPTO dated Feb. 27, 2006 for related U.S. Appl. No. 11/158,597, 7 pgs.
Dinh, Le T., International Search Report received from USPTO dated Mar. 28, 2003 for related application No. PCT/US02/32266, 2 pgs.
Tieu, Binh Kien, Notice of Allowance received from USPTO dated May 12, 2004 for related U.S. Appl. No. 10/267,531, now U.S. Pat. No. 6,804,502, 8 pgs.
Huang, “A 0.5 um CMOS T/R Switch for 900-MHz Wireless Application”, IEEE Journal of Solid-State Circuits, vol. 36, No. 3, Mar. 2001, pp. 486-492.
Lauterbach, et al. “Charge Sharing Concept and New Clocking Scheme for Power Efficiency and Electromagnetic Emission Improvement of Boosted Charge Pumps”, IEEE Journal of Solid-State Circuits, vol. 35, No. 5, May 2000, pp. 719-723.
Makioka, et al., “Super Self-Aligned GaAs RF Switch IC with 0.25 dB Extremely Low Insertion Loss for Mobile Communication Systems”, IEEE Transactions on Electron Devices, vol. 48, No. 8, Aug. 2001, pp. 1510-1514.
Maxim Integrated Products, “Charge Pumps Shine in Portable Designs”, published Mar. 15, 2001, pp. 1-13.
Texas Instruments, “TPS60204, TPS60205, Regulated 3.3-V, 100-mA Low-Ripple Charge Pump Low Power DC/DC Converters”, published Feb. 2001, rev. Sep. 2001, pp. 1-18.
Nork, Sam, “New Charge Pumps Offer Low Input and Output Noise” Linear Technology Corporation, Design Notes, Design Note 243, published Nov. 2000, pp. 1-2.
Linear Technology, “LTC1550L/LTC1551L: Low Noise Charge Pump Inverters in MS8 Shrink Cell Phone Designs”, published Dec. 1998, pp. 1-2.
Lascari, Lance, “Accurate Phase Noise Prediction in PLL Synthesizers” Applied Microwave & Wireless, published May 2000, pp. 90-96.
Englund, Terry Lee, Office Action received from USPTO dated Dec. 1, 2004 for related U.S. Appl. No. 10/658,154, 25 pgs.
Burgener, et al., Amendment filed in the USPTO dated Apr. 1, 2005 for related U.S. Appl. No. 10/658,154, 27 pgs.
Englund, Terry Lee, Office Action received from the USPTO dated Aug. 10, 2005 for related U.S. Appl. No. 10/658,154, 39 pgs.
Burgener, et al., Amendment After Final Rejection filed in the USPTO dated Oct. 11, 2005 for related U.S. Appl. No. 10/658,154, 32 pgs.
Englund, Terry Lee, Advisory Action received from USPTO dated Nov. 2, 2005 for related U.S. Appl. No. 10/658,154, 2 pgs.
Burgener, et al., Notice of Appeal filed in the USPTO dated Nov. 10, 2005 for related U.S. Appl. No. 10/658,154, 1 pg.
Burgener, et al., Amended Appeal Brief filed in the USPTO dated Jan. 5, 2007 for related U.S. Appl. No. 10/658,154, 165 pgs.
Englund, Terry Lee, Office Action received from USPTO dated May 17, 2007 for related U.S. Appl. No. 10/658,154, 52 pgs.
Burgener, et al., Amendment After Reopening of Prosecution filed in the USPTO dated Sep. 17, 2007 for related U.S. Appl. No. 10/658,154, 31 pgs.
Englund, Terry Lee, Office Action received from USPTO dated Dec. 12, 2007 for related U.S. Appl. No. 10/658,154, 52 pgs.
Burgener, et al., Notice of Appeal and Pre-Appeal Brief Request for Review filed in USPTO dated May 12, 2008 for related U.S. Appl. No. 10/658,154, 7 pgs.
Tran, Pablo N., Office Action received from the USPTO dated Mar. 19, 2009 for related U.S. Appl. No. 11/501,125, 17 pgs.
Burgener, et al., Amendment filed in the USPTO dated Jun. 19, 2009 for related U.S. Appl. No. 11/501,125, 6 pgs.
Eber von Eschenbach, Jennifer, Communication and Supplementary European Search Report for related European appln. No. 05763216, dated Nov. 27, 2009, 10 pgs.
Englund, Terry Lee, Notice of Allowance received from the PTO for related U.S. Appl. No. 10/658,154 dated Jan. 11, 2010, 2 pgs.
Kelly, Dylan, et al., Response and Terminal Disclaimers filed in the USPTO for related U.S. Appl. No. 11/347,014, dated Mar. 16, 2010, 5 pages.
F. Hameau and O. Rozeau, “Radio-Frequency Circuits Integration Using CMOS SOI 0.25 μm Technology”, 2002 RF IC Design Workshop Europe, Mar. 19-22, 2002, Grenoble, France.
O. Rozeau et al., “SOI Technologies Overview for Low-Power Low-Voltage Radio-Frequency Applications,” Analog Integrated Circuits and Signal Processing, 25, pp. 93-114, Boston, MA, Kluwer Academic Publishers, Nov. 2000.
C. Tinella et al., “A High-Performance CMOS-SOI Antenna Switch for the 2.55-GHz Band,” IEEE Journal of Solid-State Circuits, vol. 38, No. 7, Jul. 2003.
H. Lee et al., “Analysis of body bias effect with PD-SOI for analog and RF applications,” Solid State Electron., vol. 46, pp. 1169-1176, 2002.
J.-H. Lee, et al., “Effect of Body Structure on Analog Performance of SOI NMOSFETs,” Proceedings, 1998 IEEE International SOI Conference, Oct. 5-8, 1998, pp. 61-62.
C. F. Edwards, et al., The Effect of Body Contact Series Resistance on SOI CMOS Amplifier Stages, IEEE Transactions on Electron Devices, vol. 44, No. 12, Dec. 1997 pp. 2290-2294.
S. Maeda, et al., Substrate-bias Effect and Source-drain Breakdown Characteristics in Body-tied Short-channel SOI MOSFET's, IEEE Transactions on Electron Devices, vol. 46, No. 1, Jan. 1999 pp. 151-158.
F. Assaderaghi, et al., “Dynamic Threshold-voltage MOSFET (DTMOS) for Ultra-low Voltage VLSI,” IEEE Transactions on Electron Devices, vol. 44, No. 3, Mar. 1997, pp. 414-422.
G. O. Workman and J. G. Fossum, “A Comparative Analysis of the Dynamic Behavior of BTG/SOI MOSFETs and Circuits with Distributed Body Resistance,” IEEE Transactions on Electron Devices, vol. 45, No. 10, Oct. 1998 pp. 2138-2145.
T.-S. Chao, et al., “High-voltage and High-temperature Applications of DTMOS with Reverse Schottky Barrier on Substrate Contacts,” IEEE Electron Device Letters, vol. 25, No. 2, Feb. 2004, pp. 86-88.
Wei, et al., “Measurement of Transient Effects in SOI DRAM/SRAM Access Transistors”, IEEE Electron Device Letters, vol. 17, No. 5, May 1996.
Kuang, et al., “SRAM Bitline Circuits on PD SOI: Advantages and Concerns”, IEEE Journal of Solid-State Circuits, vol. 32, No. 6, Jun. 1997.
Sleight, et al., “Transient Measurements of SOI Body Contact Effectiveness”, IEEE Electron Device Letters, vol. 19, No. 12, Dec. 1998.
Chung, et al., “SOI MOSFET Structure with a Junction-Type Body Contact for Suppression of Pass Gate Leakage”, IEEE Transactions on Electron Devices, vol. 48, No. 7, Jul. 2001.
Lee, et al., “Effects of Gate Structures on the RF Performance in PD SOI MOSFETs”, IEEE Microwave and Wireless Components Letters, vol. 15, No. 4, Apr. 2005.
Hirano, et al., “Impact of Actively Body-bias Controlled (ABC) SOI SRAM by using Direct Body Contact Technology for Low-Voltage Application” IEEE, 2003, pp. 2.4.1-2.4.4.
Lee, et al., “Harmonic Distortion Due to Narrow Width Effects in Deep sub-micron SOI-CMOS Device for analog-RF applications”, 2002 IEEE International SOI Conference, Oct. 2002.
Kuo, et al., “Low-Voltage SOI CMOS VLSI Devices and Circuits”, 2001, Wiley Interscience, New York, XP001090589, pp. 57-60 and 349-354.
Chinese Patent Office, translation of an Office Action received from the Chinese Patent Office dated Jul. 31, 2009 for related appln. No. 200680025128.7, 3 pgs.
Brindle, Chris, et al, Translation of a Response filed in the Chinese Patent Office dated Nov. 30, 2009 for related appln. No. 200680025128.7, 3 pgs.
Sedra, Adel A., et al., “Microelectronic Circuits”, Fourth Edition, University of Toronto, Oxford University Press, 1982, 1987, 1991 and 1998, pp. 374-375.
Suehle, et al., “Low Electric Field Breakdown of Thin Si02 Films Under Static and Dynamic Stress”, IEEE Transactions on Electron Devices, vol. 44, No. 5, May 1997.
Bolam, et al., “Reliability Issues for Silicon-on-Insulator”, IBM Microelectronics Division, IEEE 2000, pp. 6.4.1-6.4.4.
Hu, et al., “A Unified Gate Oxide Reliability Model”, IEEE 37th Annual International Reliability Physics Symposium, San Diego, CA 1999, pp. 47-51.
Nguyen, Tram Hoang, Office Action received from the USPTO dated Sep. 19, 2008 for related U.S. Appl. No. 11/484,370, 7 pgs.
Brindle, Christopher, Response filed in the USPTO dated Jan. 20, 2009 for related U.S. Appl. No. 11/484,370, 7 pgs.
Nguyen, Tram Hoang, Office Action received from the USPTO dated Apr. 23, 2009 for related U.S. Appl. No. 11/484,370, 11 pgs.
Brindle, Christopher, Response filed in the USPTO dated Aug. 24, 2009 for related U.S. Appl. No. 11/484,370, 8 pgs.
Nguyen, Tram Hoang, Office Action received from the USPTO dated Jan. 6, 2010 for related U.S. Appl. No. 11/484,370, 46 pgs.
Brindle, Christopher, Amendment filed in the USPTO dated Jul. 6, 2010 for related U.S. Appl. No. 11/484,370, 32 pgs.
Nguyen, Tram Hoang, Notice of Allowance received from the USPTO dated Nov. 12, 2010 for related U.S. Appl. No. 11/484,370, 21 pgs.
Iperione, Analia, International Search Report received from the EPO dated Nov. 7, 2006 for related appln. No. PCT/US2006/026965, 19 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated May 16, 2011 for related appln. No. 11153313.9, 8 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated May 16, 2011 for related appln. No. 11153281.8, 7 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated May 16, 2011 for related appln. No. 11153241.2, 5 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated May 16, 2011 for related appln. No. 11153247.9, 6 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated May 16, 2011 for related appln. No. 11153227.1, 5 pgs.
Tran, Pablo, Notice of Allowance received from the USPTO dated May 16, 2013 for related U.S. Appl. No. 12/903,848, 101 pgs.
Hoffmann, Niels, Office Action received from the EPO dated Feb. 4, 2009 for related appln. No. 06786943.8, 104 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated Aug. 12, 2009 for related appln. No. 06786943.8, 31 pgs.
Hoffmann, Niels, Summons to Attend Oral Proceedings Pursuant to Rule 115(1) EPC dated Jul. 22, 2011 for related appln. No. 06786943.8, 8 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated Oct. 24, 2011 for related appln. No. 06786943.8, 1 pg.
Benker, Guido, Decision to Refulse a European Patent Application (Art. 97(2)EPC) dated Nov. 18, 2011 or related appln. No. 06786943.8, 4 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated Jan. 17, 2012 for related appln. No. 06786943.8, 1 pg.
Peregrine Semiconductor Corporation, Appeal to the Decision for Refusal filed in the EPO dated Mar. 20, 2012 for related appln. No. 06786943.8, 27 pgs.
Nguyen et al., Notice of Allowance received from the USPTO dated Nov. 17, 2011 for related U.S. Appl. No. 13/053,211, 41 pgs.
Hoffmann, Niels, International Search Report received from the EPO dated Feb. 27, 2012 for related appln. No. PCT/US2011/056942, 12 pgs.
Iljima, et al., “Boosted Voltage Scheme with Active Body-Biasing Control on PD-SOI for Ultra Low Voltage Operation”, IEICE Transactions on Electronics, Institute of Electronics, Tokyo, JP, vol. E90C, No. 4, Apr. 1, 2007, pp. 666-674.
Peregrine Semiconductor Corporation, Translation of a Response filed in the Chinese Patent Office dated Nov. 30, 2009 for related appln. No. 200680025128.7, 3 pgs.
Chinese Patent Office, Translation of an Office Action received from the Chinese Patent Office dated Nov. 2, 2011 for related appln. No. 200680025128.7, 12 pgs.
Shingleton, Michael, Office Action received from the USPTO dated Oct. 7, 2008 for related U.S. Appl. No. 11/811,816, 4 pgs.
Dribinsky, et al., Response filed in the USPTO dated Jan. 7, 2009 for related U.S. Appl. No. 11/881,816, 7 pgs.
Shingleton, Michael, Office Communication received from the USPTO dated Apr. 28, 2009 for related U.S. Appl. No. 11/811,816, 3 pgs.
Dribinsky, et al., Response filed in the USPTO dated Aug. 28, 2009 for related U.S. Appl. No. 11/881,816, 7 pgs.
Shingleton, Michael, Office Action received from the USPTO dated Jan. 19, 2010 for related U.S. Appl. No. 11/811,816, 16 pgs.
Dribinsky, et al., Response filed in the USPTO dated Jul. 19, 2010 for related U.S. Appl. No. 11/881,816, 22 pgs.
Shingleton, Michael, Office Action received from the USPTO dated Oct. 14, 2010 for related U.S. Appl. No. 11/811,816, 15 pgs.
Dribinsky, et al., Response filed in the USPTO dated Jan. 14, 2011 for related U.S. Appl. No. 11/881,816, 19 pgs.
Shingleion, Michael, Advisory Action received from the USPTO dated Mar. 18, 2011 for related U.S. Appl. No. 11/811,816, 3 pgs.
Shingleton, Michael, Interview Summary received from the USPTO dated Apr. 12, 2011 for related U.S. Appl. No. 11/811,816, 2 pgs.
Shingleton, Michael, Interview Summary received from the USPTO dated Apr. 18, 2011 for related U.S. Appl. No. 11/811,816, 3 pgs.
Dribinsky, et al., General Letter filed in the USPTO dated Jun. 29, 2011 for related U.S. Appl. No. 11/881,816, 1 pg.
Shingleton, Michael, Notice of Allowance received from the USPTO dated Oct. 12, 2011 for related U.S. Appl. No. 11/811,816, 5 pgs.
Dribinsky, et al., RCE and IDS filed in the USPTO dated Mar. 26, 2012 for related U.S. Appl. No. 11/881,816, 4 pgs.
Englekirk, Robert Mark, Amendment filed in the USPTO dated Mar. 5, 2012 for related U.S. Appl. No. 13/046,560, 4 pgs.
Nguyen, Tram Hoang, Office Action received from the USPTO dated Apr. 11, 2012 for related U.S. Appl. No. 13/412,529, 6 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated Apr. 17, 2012 for related appln. No. EP1451890, 42 pgs.
Tran, Pablo, Notice of Allowance received from the USPTO dated May 19, 2011 for related U.S. Appl. No. 11/501,125, 11 pgs.
Choe, Henry, Notice of Allowance received from the USPTO dated Mar. 14, 2013 for related U.S. Appl. No. 12/657,728, 14 pgs.
Choe, Henry, Notice of Allowance received from the USPTO dated Apr. 5, 2013 for related U.S. Appl. No. 13/008,711, 15 pgs.
Nguyen, Hieu, Office Action received from the USPTO dated Dec. 28, 2010 for related U.S. Appl. No. 12/590,839, 5 pgs.
Adamski, et al., Response filed in the USPTO dated Feb. 28, 2011 for related U.S. Appl. No. 12/590,839, 15 pgs.
Nguyen, Hieu, Office Action received from the USPTO dated May 6, 2011 for related U.S. Appl. No. 12/590,839, 12 pgs.
Adamski, et al., Response filed in the USPTO dated Aug. 3, 2011 for related U.S. Appl. No. 12/590,839, 11 pgs.
Nguyen, Hieu, Notice of Allowance received from the USPTO dated Sep. 20, 2011 for related U.S. Appl. No. 12/590,839, 13 pgs.
Adamski, et al., Issue Fee Transmittal and Comments on Examiner's Statement of Reasons for Allowance filed in the USPTO dated Dec. 20, 2011 for related U.S. Appl. No. 12/590,839, 4 pgs
Sokal, Nathan O., “Class E-A New Class of High Efficiency Tuned Single-Ended Switching Power Amplifiers”, IEEE Journal of Solid-State Circuits, vol. SC-10, No. 3, Jun. 1975, pp. 168-176.
Nguyen, Hieu, Office Action received from the USPTO dated Mar. 8, 2011 for related U.S. Appl. No. 12/657,727, 5 pgs.
Ahadian, et al., Response to Restriction Requirement filed in the USPTO dated Apr. 5, 2011 for related U.S. Appl. No. 12/657,727, 3 pgs.
Ahadian, et al., Response filed in the USPTO dated Sep. 9, 2011 for related U.S. Appl. No. 12/657,727, 14 pgs.
Ahadian, et al., Issue Fee Transmittal and Comments on Examiner's Statement of Reasons for Allowance filed in the USPTO dated Dec. 27, 2011 for related U.S. Appl. No. 12/657,727, 5 pgs.
Lam, Fleming, Response filed in the USPTO dated Feb. 6, 2012 for related U.S. Appl. No. 12/807,365, 10 pgs.
Lam, Fleming, Response filed in the USPTO dated Jul. 3, 2012 for related U.S. Appl. No. 12/807,365, 8 pgs.
Wells, Kenneth B., Office Action received from the USPTO dated Aug. 16, 2016 for U.S. Appl. No. 14/883,525, 25 pgs.
Nguyen, Khanh V., Notice of Allowance received from the USPTO dated Aug. 19, 2016 for U.S. Appl. No. 14/622,650, 9 pgs.
Burgener, et al., Response filed in the USPTO dated Nov. 11, 2016 for U.S. Appl. No. 14/883,525, 10 pgs.
Wells, Kenneth, Final Office Action received from the USPTO dated Jan. 5, 2017 for U.S. Appl. No. 14/883,525, 18 pgs.
Aquilani, Dario, Extended Search Report received from the EPO dated Oct. 1, 2019 for appln. No. 19159039.7, 50 pgs.
Tran, Pablo N., Office Action received from the USPTO dated Oct. 18, 2019 for U.S. Appl. No. 16/515,967, 9 pgs.
Tran, Pablo N., Notice of Allowance received from the USPTO dated Feb. 28, 2020 for U.S. Appl. No. 16/515,967, 96 pgs.
PSemi Corporation, Response filed in the USPTO dated Jan. 21, 2020 for U.S. Appl. No. 16/515,967, 3 pgs.
Wells, Kenneth B., Office Action received from the USPTO dated Jun. 5, 2020 for U.S. Appl. No. 16/793,969, 17 pgs.
Wells, Kenneth B., Final Office Action received from the USPTO dated Sep. 21, 2020 for U.S. Appl. No. 16/793,969, 47 pgs.
Tran, Pablo N., Office Action received from the USPTO dated Aug. 24, 2020 for U.S. Appl. No. 16/891,519, 8 pgs.
Tran, Pablo N., Notice of Allowance received from the USPTO dated Mar. 18, 2021 for U.S. Appl. No. 16/891,519, 102 pgs.
Tran, Pablo N., Office Action received from the USPTO dated Dec. 31, 2018 for U.S. Appl. No. 15/917,218, 23 pgs.
Tran, Pablo N., Notice of Allowance received from the USPTO dated Mar. 5, 2019 for U.S. Appl. No. 15/917,218, 13 pgs.
Tran, Pablo N., Response to Rule 312 Communication received from the USPTO dated Mar. 19, 2019 for U.S. Appl. No. 15/917,218, 2 pgs.
Tran, Pablo N., Response to Rule 312 Communication received from the USPTO dated Apr. 18, 2019 for U.S. Appl. No. 15/917,218, 2 pgs.
Tran, Pablo N., Notice of Allowance received from the USPTO dated Jun. 14, 2019 for U.S. Appl. No. 15/917,218, 12 pgs.
Tran, Pablo N., Office Action received from the USPTO dated Aug. 18, 2017 for U.S. Appl. No. 15/586,007, 5 pgs.
Tran, Pablo N., Office Action received from the USPTO dated Sep. 27, 2017 for U.S. Appl. No. 15/586,007, 11 pgs.
Tran, Pablo N., Notice of Allowance received from the USPTO dated Feb. 12, 2018 for U.S. Appl. No. 15/586,007, 8 pgs.
Burgener, et al., Preliminary Amendment filed in the USPTO dated May 17, 2017 for U.S. Appl. No. 15/586,007, 9 pgs.
Burgener, et al., Response filed in the USPTO dated Sep. 5, 2017 for U.S. Appl. No. 15/586,007, 8 pgs.
Burgener, et al., Response filed in the USPTO dated Oct. 11, 2017 for U.S. Appl. No. 15/586,007, 3 pgs.
Tieu, Binh Kien, Office Action received from the USPTO dated Mar. 7, 2018 for U.S. Appl. No. 15/656,953, 14 pgs.
Aquilani, Dario, Communication pursuant to Article 94(3) EPC received from the EPO dated Jul. 2, 2018 for appln. np/ 14182150.4, 4 pgs.
Wells, Kenneth, Final Office Action received from the USPTO dated Jul. 5, 2018 for U.S. Appl. No. 14/883,525, 22 pgs.
Wells, Kenneth B., Notice of Allowance received from the USPTO dated Aug. 30, 2018 for U.S. Appl. No. 14/883,525, 13 pgs.
Nguyen, Hieu P., Final Office Action received from the USPTO dated Sep. 11, 2018 for U.S. Appl. No. 15/602,042, 12 pgs.
Vergoosen, Joannes, Communication under Rule 71(3) EPC received from the EPO dated Sep. 6, 2018 for appln. No. 14178741.6, 29 pgs.
Lindberg, et al., Communication under Rule 71 (3) EPC received from the EPO dated Nov. 2, 2018 for appln. No. 14182150.4, 5 pgs.
Tieu, Binh Kien, Office Action received from the USPTO dated Mar. 22, 2019 for U.S. Appl. No. 16/167,389, 12 pgs.
PSemi Corporation, Response filed in the USPTO dated Feb. 5, 2019 for U.S. Appl. No. 15/917,218, 3 pgs.
PSemi Corporation, Amendment After Allowance filed in the USPTO dated Mar. 11, 2019 for U.S. Appl. No. 15/917,218, 6 pgs.
Aquilani, Dario, Communication pursuant to Article 94(3) EPC received from the EPO dated Jan. 12, 2018 for appln. No. 14182150.4, 4 pgs.
Tran, Pablo N., Office Action received from the USPTO dated May 8, 2014 for U.S. Appl. No. 14/052,680, 5 pgs.
Tran, Pablo N., Notice of Allowance received from the USPTO dated Feb. 3, 2017 for U.S. Appl. No. 14/052,680, 157 pgs.
Burgener, et al., Amendment filed in the USPTO dated Nov. 10, 2014 for U.S. Appl. No. 14/052,680, 13 pgs.
Tieu, Binh Kien, Notice of Allowance received from the USPTO dated Jun. 8, 2017 for U.S. Appl. No. 14/883,499, 14 pgs.
Wells, Kenneth B., Office Action received from the USPTO dated Feb. 22, 2018 for U.S. Appl. No. 14/883,525, 19 pgs.
Burgener, et al., Response filed in the USPTO dated Nov. 24, 2014 for U.S. Appl. No. 14/062,791, 8 pgs.
Burgener, et al., Response After Final Office Action filed in the USPTO dated Dec. 18, 2014 for U.S. Appl. No. 13/769,780, 21 pgs.
Tieu, Binh Kien, Notice of Allowance received from the USPTO dated Jan. 23, 2015 for U.S. Appl. No. 14/062,791, 8 pgs.
La Casta, Munoa, Interlocutory Decision in Opposition Proceedings received from the EPO dated Oct. 31, 2014 for appln. No. 02800982.7, 77 pgs.
Imbernon, Lisa, Extended Search Report received from the EPO dated Jan. 8, 2015 for appln. No. 14178741.6, 6 pgs.
Matsumoto, Yasunori, English translation of Office Action received from the JPO dated Feb. 10, 2015 for appln. No. 2012-243547, 2 pgs.
Tran, Pablo N., Office Action received from the USPTO dated Feb. 24, 2015 for U.S. Appl. No. 14/177,062, 5 pgs.
European Patent Office, Invitation pursuant to Rule 63(1) EPC received from the EPO dated Mar. 3, 2015 for appln. No. 14182150.4, 3 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated Apr. 29, 2015 for appln. No. 14182150.4, 7 pgs.
Wells, Kenneth, Notice of Allowance received from the USPTO dated May 4, 2015 for U.S. Appl. No. 13/769,780, 28 pgs.
Peregrine Semiconductor Corporation, English translation of Response filed in the JPO dated May 12, 2015 for appln. No. 2012-243547, 4 pgs.
Tieu, Binh Kien, Notice of Allowance received from the USPTO dated May 14, 2015 for U.S. Appl. No. 14/062,791, 211 pgs.
Aquilani, Dario, Extended Search Report received from the EPO dated Jun. 11, 2015 for appln. No. 14182150.4, 9 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated Jul. 14, 2015 for appln. No. 14178741.6, 9 pgs.
Wells, Kenneth, Notice of Allowance received from the USPTO dated Sep. 4, 2015 for U.S. Appl. No. 13/769,780, 9 pgs.
Tieu, Binh Kien, Notice of Allowance received from the USPTO dated Sep. 4, 2015 for U.S. Appl. No. 14/062,791, 12 pgs.
Nguyen, Patricia T., Office Action received from the USPTO dated Oct. 8, 2015 for U.S. Appl. No. 14/603,169, 20 pgs.
Nguyen, Patricia T., Notice of Allowance received from the USPTO dated Jan. 4, 2016 for U.S. Appl. No. 14/603,169, 10 pgs.
Nguyen, Khanh V., Office Action received from the USPTO dated Mar. 8, 2016 for U.S. Appl. No. 14/622,650, 27 pgs.
Tran, Pablo N., Notice of Allowance received from the USPTO dated Mar. 25, 2016 for U.S. Appl. No. 14/177,062, 146 pgs.
Tran, Pablo N., Office Action received from the USPTO dated Oct. 29, 2009 for related U.S. Appl. No. 11/501,125, 19 pgs.
Burgener, et al, Amendment filed in the USPTO dated Apr. 29, 2010 or related U.S. Appl. No. 11/501,125, 14 pgs.
Tran, Pablo N., Notice of Allowance received from the USPTO dated Jun. 10, 2010 for related U.S. Appl. No. 11/501,125, 11 pgs.
Kai, Tetsuo, an English translation of an Office Action received from the Japanese Patent Office for related appln. No. 2007-518298 dated Jul. 20, 2010, 5 pgs.
Burgener, et al., Response (in Japanese) as filed in the JPO for related appln. No. 2007-518298 dated Oct. 15, 2010 plus translation of Response as filed on Oct. 12, 2010, 55 pgs.
Kai, Tetsuo, Translation of an Office Action received from the JPO dated Mar. 29, 2011 for related Japanese appln. No. 2010-232563, 4 pgs.
Tran, Pablo N., Notice of Allowance received from the USPTO dated May 19, 2011 for related U.S. Appl. No. 12/501,125, 11 pgs.
Shifrin, Mitchell, et al., “Monolithic FET Structures for High-Power Control Component Applications”, IEEE Transactions on Microwave Theory and Techniques, vol. 37, No. 12, Dec. 1989, pp. 2134-2141.
Wang, Dawn, et al., High Performance SOI RF Switches for Wireless Applications (Invited Paper), IEEE 2010, 4 pgs.
Nguyen, Hieu P., Office Action received from the USPTO dated Jun. 10, 2011 for related U.S. Appl. No. 12/657,727, 12 pgs.
Choe, Henry, Office Action received from the USPTO dated Jun. 15, 2011 for related appln. No. 12/657,728, 4 pgs.
Nguyen, Hieu, Notice of Allowance received from the USPTO dated Sep. 20, 2011 for related appln. No. 12/590,839, 13 pgs.
Nguyen, Hieu, Notice of Allowance received from the USPTO dated Sep. 29, 2011 for related appln. No. 12/657,727, 14 pgs.
Choe, Henry, Notice of Allowance received from the USPTO dated Oct. 14, 2011 for related U.S. Appl. No. 12/799,910, 23 pgs.
Choe, Henry, Final Office Action received from the USPTO dated Sep. 13, 2011 for related U.S. Appl. No. 13/008,711, 13 pgs.
Unterberger, Michael, Extended Search Report received from the EPO dated Sep. 30, 2011 for related appln. No. 10011669.8, 9 pgs.
Nguyen, Khanh V., Office Action received from the USPTO dated Nov. 4, 2011 for related U.S. Appl. No. 12/807,365, 14 pgs.
Moore, Joanne, Notice of Opposition filed in the EPO dated Nov. 8, 2011 for related appln. No. 02800982.7-2220, 33 pgs.
Piccoli, Voye, Notice of Publication received from the EPO dated Oct. 19, 2011 for related appln. No. 10011669.8-2220, 2 pgs.
Adamski, et al., Response filed in the USPTO dated Dec. 13, 2011 for related U.S. Appl. No. 13/008,711, 5 pgs.
Tran, Pablo N., Notice of Allowance received from the USPTO dated Oct. 6, 2011 for related U.S. Appl. No. 11/501,125, 11 pgs.
Rodgers, Paul, et al. “Silicon UTSi CMOS RFIC for CDMA Wireless Communications Systems”, IEEE MTT-s Digest, 1999, pp. 485-488.
Megahed, et al., “Low Cost UTSi Technology for RF Wireless Applications”, IEEE MTT-S Digest, 1998.
Burgener, et al., Comments on Examiner's Statement of Reasons for Allowance filed in the USPTO dated Aug. 12, 2004 for related U.S. Appl. No. 10/267,531, 2 pgs.
Burgener, Mark, “CMOS SOS Switches Offer Useful Features, High Integration” Microwaves & RF, Aug. 2001, pp. 107-118.
Johnson, Robb, et al., “Advanced Thin-Film Silicon-on-Sapphire Technology: Microwave Circuit Applications”, IEEE Transactions on Electron Devices, vol. 45, No. 5, May 1998, pp. 1047-1054.
Miyajima, Ikumi, an English translation of a Notice of Reasons for Refusal received from the Japanese Patent Office dated Oct. 5, 2006 for related appln. No. 2003-535287, 4 pgs.
Miyajima, Ikumi, an English translation of a Notice of Reasons for Refusal received from the Japanese Patent Office dated Feb. 13, 2006 for related appln. No. 2003-535287, 3 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO dated May 15, 2012 for related appln. No. 10011669.8, 19 pgs.
Van der Peet, H., Communication Pursuant to Article 94(3) EPC received from the EPO dated Jun. 19, 2008 for related appln. No. 02800982.7, 3 pgs.
Van der Peet, H., Communication Pursuant to Article 94(3) EPC received from the EPO dated Aug. 6, 2009 for related appln. No. 02800982.7, 2 pgs.
Van der Peet, H., Communication under Rule 71 (3) EPC received from the EPO dated Nov. 27, 2009 for related appln. No. 02800982.7, 68 pgs.
Unterberger, M, Decision to grant a European patent pursuant to Article 97(1) EPC dated Jan. 7, 2011 for related application No. 02800982.7, 1 pg.
Weman, Eva, Communication of a Notice of Opposition received from the EPO dated Nov. 8, 2011 for related application No. 02800982.7, 33 pgs.
Rohner, M., Communication of notices of opposition (R.79(1)(EPC) received from the EPO dated Dec. 7, 2011 for related application No. 02800982.7, 1 pg.
Peregrine Semiconductor Corporation, Response of the Patentee to the Notice of Opposition filed in the EPO dated Apr. 17, 2012 for related application No. 02800982.7, 42 pg.
Tiey, Binh Kien, Office Action received from the USPTO dated Feb. 19, 2013 for related U.S. Appl. No. 12/980,161, 97 pgs.
Burgener, et al., Preliminary Amendment filed in the USPTO dated Apr. 27, 2012 for related U.S. Appl. No. 12/980,161, 21 pgs.
Tieu, Binh Kien, Office Action from USPTO, dated Jun. 3, 2005, U.S. Appl. No. 10/922,135, 8 pgs.
Burgener, et al., Amendment filed in PTO dated Dec. 5, 2005 for U.S. Appl. No. 10/922,135, 7 pgs.
Tieu, Binh Kien, Office Action from USPTO, dated Jan. 17, 2006, U.S. Appl. No. 10/922,135, 8 pgs.
Burgener, et al., Response filed in PTO dated May 16, 2006 for U.S. Appl. No. 10/922,135, 4 pgs.
Tieu, Binh Kien, Notice of Allowance from USPTO, dated Jun. 2, 2006 for U.S. Appl. No. 10/922,135, 5 pgs.
Tieu, Binh Kien, Office Action from USPTO dated Nov. 15, 2007 for related U.S. Appl. No. 11/582,206, 9 pages.
Burgener, et al., Amendment filed in USPTO dated May 15, 2008 for related U.S. Appl. No. 11/582,206, 14 pages.
Tieu, Binh Kien, Notice of Allowance from USPTO dated Jul. 15, 2008 for related U.S. Appl. No. 11/582,206, 7 pages.
Orndorff, et al., “CMOS/SOS/LSI Switching Regulator Control Device”, Solid-State Circuits Conf., Digest of Technical Papers, Feb. 1978 IEEE International, vol. XXI, pp. 234-235.
Caverly, Robert H., et al., “A Silicon CMOS Monolithic RF and Microwave Switching Element”, 1997 European Microwave Conference, Jerusalem, Sep. 1987, 4 pgs.
Tieu, Binh Kien, Office Action received from the USPTO dated Sep. 16, 2009 for related U.S. Appl. No. 11/347,014, 26 pages.
Aquilani, Dario, Communication Pursuant to Article 94(3) EPC received from the EPO for related appln No. 05763216.8, dated Mar. 22, 2010, 7 pages.
Tieu, Binh Kien, Notice of Allowance received from the USPTO for related U.S. Appl. No. 11/347,014, dated Apr. 29, 2010, 12 pages.
Tieu, Binh Kien, Notice of Allowance received from the USPTO for related U.S. Appl. No. 12/315,395, dated Aug. 11, 2010, 26 pgs.
Tieu, Binh Kien, Supplemental Notice of Allowance received from the USPTO for related U.S. Appl. No. 12/315,395, dated Oct. 29, 2010, 10 pgs.
Kelly, et al., Comments on Examiner's Statement of Reasons for Allowance filed in the USPTO for related U.S. Appl. No. 11/347,014, dated Jul. 29, 2010, 2 pgs.
Chow, Charles Chiang, Office Action received from the USPTO dated Mar. 2, 2011 for related U.S. Appl. No. 11/347,671, 14 pgs.
Kelly, Dylan, Amendment filed in the USPTO dated May 2, 2011 for related U.S. Appl. No. 11/347,671, 6 pgs.
Aquilani, Dario, Communication and Supplementary European Search Report for related European appln. No. 05763216, dated Nov. 27, 2009, 10 pgs.
Chow, Charles Chiang, Office Action received from USPTO for related U.S. Appl. No. 11/347,671 dated Aug. 19, 2008, 14 pgs.
Kelly, Dylan, Amendment filed in the USPTO for related U.S. Appl. No. 11/347,671 dated Dec. 19, 2008, 15 pgs.
Chow, Chades Chiang, Office Action received from USPTO for related U.S. Appl. No. 11/347,671 dated Apr. 16, 2009, 16 pgs.
Kelly, Dylan, Response filed in the USPTO for related U.S. Appl. No. 11/347,671 dated Jun. 16, 2009, 14 pgs.
Chow, Chades Chiang, Office Action received from the USPTO for related U.S. Appl. No. 11/347,671 dated Jul. 20, 2009, 17 pgs.
Kelly, Dylan, Amendment filed in the USPTO for related U.S. Appl. No. 11/347,671 dated Jan. 20, 2010, 18 pgs.
Chow, Chades Chiang, Office Action received from the USPTO or related U.S. Appl. No. 11/347,671 dated Apr. 28, 2010, 20 pgs.
Kelly, Dylan, Amendment filed in the USPTO for related U.S. Appl. No. 11/347,671 dated Jul. 28, 2010, 6 pgs.
Chow, Chades Chiang, Office Action received from the USPTO or related U.S. Appl. No. 11/347,671 dated Aug. 20, 2013, 18 pgs.
Kelly, Dylan, Amendment filed in the USPTO for related U.S. Appl. No. 11/347,671 dated Dec. 20, 2010, 12 pgs.
Chow, Chades Chiang, Office Action received from the USPTO for related U.S. Appl. No. 11/347,671 dated Mar. 2, 2011, 15 pgs.
Kelly, Dylan, Amendment filed in the USPTO for related U.S. Appl. No. 11/347,671 dated May 22, 2011, 6 pgs.
Chow, Chades Chiang, Advisory Action received from the USPTO for related U.S. Appl. No. 11/347,671 dated May 12, 2011, 3 pgs.
Kelly, Dylan, Notice of Appeal filed in USPTO dated Jun. 2, 2011 for related U.S. Appl. No. 11/347,671, 6 pgs.
Peregrine Semiconductor Corporation, a Response (in Japanese), dated Aug. 14, 2006, for related Japanese application No. 2003-535287, 32 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO, dated Dec. 23, 2008 for related application No. 02 800 982.7-2220, 22 pgs.
Peregrine Semiconductor Corporation, Response filed in the EPO, dated Oct. 7, 2009 for related application No. 02 800 982.7-2220, 23 pgs.
Chow, Charles Chiang, Notice of Allowance received from the USPTO dated Aug. 16, 2011 for related U.S. Appl. No. 11/347,671, 12 pgs.
Caverly, Robert H., “Linear and Nonlinear Characteristics of the Silicon CMOS Monolithic 50-Ω Microwave and RF Control Element”, IEEE Journal of Solid-State Circuits, vol. 34, No. 1, Jan. 1999, pp. 124-126.
Philips Semiconductors, Product Specificate, IC17 Data Handbook, Nov. 7, 1997, pp. 1-14.
Yama, Yoshitada, et al., “L-Band SPDT Switch Using Si-MOSFET”, IEICE Trans. Electronic, vol. E-79-C, No. 5, May 1996, pp. 636-643.
Yamamoto, Kazuya, et al., “A 2.2-V Operating, 2.4-GHz Single-Chip GaAs MMIC Transceiver for Wireless Applications”, IEEE Journal of Solid-State Circuits, vol. 34, No. 4, Apr. 1999, pp. 502-512.
Tran, Pablo N., Office Action received from the USPTO dated Feb. 3, 2012 for related U.S. Appl. No. 12/903,848, 46 pgs.
Kurisu, Masakazu, Japanese Office Action received from the Japanese Patent Office dated Apr. 17, 2012 for related appln. No. 2010-506156, 4 pgs.
Patel, Reema, Notice of Allowance received from the USPTO dated May 24, 2012 for related U.S. Appl. No. 13/046,560, 15 pgs.
Tong, Ah Fatt, et al., “RFCMOS Unit Width Optimization Technique”, IEEE Transactions on Microwave Theory and Techniques, vol. 55, No. 9, Sep. 2007, pp. 1844-1853.
Schultz, John J., “The Dual-Gate MOSFET”, CQ Magazine, Dec. 1968, pp. 30-32.
Choe, Henry, Notice of Allowance received from the USPTO dated Feb. 4, 2011 for related U.S. Appl. No. 12/589,800, 8 pgs.
Bacon, et al., Issue Fee Transmittal and Comments on Examiner's Statement of Reasons for Allowance filed in the USPTO dated May 2, 2011 for related U.S. Appl. No. 12/589,800, 4pgs.
Jeong, Jinho, et al., “A 20 dBm Linear RF Power Amplifier Using Stacked Silicon-on-Sapphire MOSFETs”, IEEE Microwave and Wireless Components Letters,. vol. 16, No. 12, Dec. 2006, pp. 684-686.
Sowlati, Tirdad, “A 2.4-GHz 0/18-um CMOS Self-Biased Cascode Power Amplifier”, IEEE Journal or Solid-State Circuits, vol. 38, No. 8, Aug. 2003, pp. 1318-1324.
Ko, Sangwon, et al., “A Linearized Cascode CMOS Power Amplifier”, Electrical and Computer Engineering Dept., Univ. of Florida, IEEE, 2006, 4 pgs.
Webster, D.R., et al., “Novel Circuit Synthesis Technique using Short Channel GaAs FETS Giving Reduced Intermodulation Distortion”, Dept. of Electronic and Electrical Engineering, University College, London, IEEE 1995, pp. 1348-1351.
Webster, Danny, et al., “Control of Circuit Distortion by the Derivative Superposition Method”, IEEE Microwave and Guided Wave Letter, vol. 6, No. 3, Mar. 1996, pp. 123-125.
Van Der Heijden, Mark, et al., “Theory and Design of an Ultra-Linear Square-Law Approximated LDMOS Power Amplifier in Class-AB Operation”, IEEE Transactions on Microwave Theory and Techniques, vol. 50, No. 9, Sep. 2002, pp. 2176-2184.
Kim, Bonkee, et al., “A New Linearization Technique for MOSFET RF Amplifier using Multiple Gated Transistors”, IEEE Micro. and Guided Wave Ltrs, V. 10, No. 9, Sep. 2000, 371-373.
Jeon, Moon-Suk, et al., “A New “Active” Predistortor with High Gain using Cascode-FET Structures”, MO4D-4, 2002 IEEE Radio Frequency Integrated Circuits Symposium, p. 253-256.
Aoki, Ichiro, et al., “Fully Integrated CMOS Power Amplifier Design Using the Distributed Active-Transformer Architecture”, IEEE Journal of Solid-State Circuits, vol. 37, No. 3, Mar. 2002, pp. 371-383.
McRory, John, et al., “Transformer Coupled Stacked FET Power Amplifiers”, IEEE Journal of Solid-State Circuits, vol. 34, No. 2, Feb. 1999, pp. 157-161.
Ezzeddine, Amin, et al., “The High Voltage/High Power FET”, MO1D-6, IEEE Radio Frequency Integrated Circuits Symposium, 2003, pp. 215-218.
Ding, Yongwang, et al., “A High-Efficiency CMOS +22-dBm Linear Power Amplifier”, IEEE Journal of Solid-State Circuits, vol. 40, No. 9, Sep. 2005, pp. 1895-1900.
Choe, Henry, Notice of Allowance received from the USPTO dated Jan. 10, 2012 for related U.S. Appl. No. 13/008,711, 10 pgs.
Nguyen, Khanh V., Office Action received from the USPTO dated Apr. 5, 2012 for related U.S. Appl. No. 12/807,365, 10 pgs.
Ghilini, Marie, International Search Report and Written Opinion dated Apr. 16, 2012 for related appln. No. PCT/US2011/057381, 11 pgs.
Choe, Henry, Notice of Allowance received from the USPTO dated Aug. 2, 2012 for related U.S. Appl. No. 13/008,711, 15 pgs.
Burgener, et al., Response filed in the USPTO dated Aug. 3, 2012 for related U.S. Appl. No. 12/903,848, 5 pgs.
Nguyen, Khanh V., Notice of Allowance received from the USPTO dated Sep. 6, 2012 for related U.S. Appl. No. 12/807,365, 13 pgs.
Nguyen, Patricia T., Notice of Allowance received from the USPTO dated Sep. 27, 2012 for related U.S. Appl. No. 12/924,907, 40 pgs.
Tran, Pablo N, Notice of Allowance received from the USPTO dated Oct. 26, 2012 for related U.S. Appl. No. 12/903,848, 14 pgs.
Sharma, et al., Issue Fee Transmittal and Comments on Examiner's Statement of Reasons for Allowance dated Dec. 27, 2012 for related U.S. Appl. No. 12/924,907, 4 pgs.
Nguyen, Hieu, Office Action received from the USPTO dated Jun. 10, 2011 for related U.S. Appl. No. 12/657,727, 12 pgs.
Aquilani, Dario, Communication pursuant to Article 94(3) EPC received from the EPO dated Jan. 21, 2013 for related appln. No. 05763216.8, 4 pgs.
Tran, Pablo, Notice of Allowance received from the USPTO dated Feb. 15, 2013 for related U.S. Appl. No. 12/903,848, 26 pgs.
Choe, Henry, Office Action received from the USPTO dated Feb. 2, 2011 for related U.S. Appl. No. 12/657,728, 12 pgs.
Li, et al., Response filed in the USPTO dated Jun. 2, 2011 for related U.S. Appl. No. 12/657,728, 17 pgs.
Choe, Henry, Final Office Action received from the USPTO dated Jun. 15, 2011 for related U.S. Appl. No. 12/657,728, 4 pgs.
Li, et al., Response filed in the USPTO dated Sep. 4, 2011 for related U.S. Appl. No. 12/657,728, 10 pgs.
Choe, Henry, Advisory Action received from the USPTO dated Sep. 20, 2011 for related U.S. Appl. No. 12/657,728, 2 pgs.
Li, et al., Notice of Appeal and Pre-Appeal Brief Request for Review filed in the USPTO dated Nov. 14, 2011 for related U.S. Appl. No. 12/657,728, 8 pgs.
Choe, Henry, Notice of Allowance received from the USPTO dated Nov. 1, 2012 for related U.S. Appl. No. 12/657,728, 10 pgs.
Li, et al., Preliminary Amendment filed in the USPTO dated Apr. 22, 2009 for related U.S. Appl. No. 12/319,898, 8 pgs.
Choe, Henry, Notice of Allowance received from the USPTO dated May 5, 2010 for related U.S. Appl. No. 12/319,898, 7 pgs.
Li, et al., Issue Fee Transmittal and Comments on Examiner's Statement of Reasons for Allowance filed in the USPTO dated Aug. 4, 2010 for related U.S. Appl. No. 12/319,898, 3 pgs.
Adamski, et al., Proposed Amended Claims for Examiner's Consideration filed in the USPTO dated Aug. 31, 2010 for related U.S. Appl. No. 12/455,671, 9 pgs.
Choe, Henry, Notice of Allowance received from the USPTO dated Sep. 7, 2010 for related U.S. Appl. No. 12/455,671, 12 pgs.
Adamski, et al., Issue Fee Transmittal and Comments on Examiner's Statement of Reasons for Allowance filed in the USPTO dated Dec. 3, 2010 for related U.S. Appl. No. 12/455,671, 3 pgs.
Choe, Henry, Office Action received from USPTO for related U.S. Appl. No. 13/008,711, dated Apr. 4, 2011, 7 pgs.
Adamski, Jarolsaw, et al., Response filed in the USPTO dated Aug. 3, 2011 for related U.S. Appl. No. 13/008,711, 10 pgs.
Adamski, et al., Issue Fee Transmittal and Comments on Examiner's Statement of Reasons for Allowance filed in the USPTO dated Jan. 17, 2012 for related U.S. Appl. No. 12/799,910, 4 pgs.
Related Publications (1)
Number Date Country
20220006484 A1 Jan 2022 US
Divisions (1)
Number Date Country
Parent 15586007 May 2017 US
Child 15917218 US
Continuations (7)
Number Date Country
Parent 16891519 Jun 2020 US
Child 17375861 US
Parent 16515967 Jul 2019 US
Child 16891519 US
Parent 15917218 Mar 2018 US
Child 16515967 US
Parent 14052680 Oct 2013 US
Child 15586007 US
Parent 13412463 Mar 2012 US
Child 14052680 US
Parent 11501125 Aug 2006 US
Child 13412463 US
Parent 11158597 Jun 2005 US
Child 11501125 US
Continuation in Parts (1)
Number Date Country
Parent 10875405 Jun 2004 US
Child 11158597 US