Memory chiplet with efficient mapping of memory-centric interface to die-to-die (D2D) unit interface modules

Information

  • Patent Grant
  • 12204482
  • Patent Number
    12,204,482
  • Date Filed
    Wednesday, May 1, 2024
    9 months ago
  • Date Issued
    Tuesday, January 21, 2025
    12 days ago
Abstract
Semiconductor devices, packaging architectures and associated methods are disclosed. In one embodiment, a memory chiplet is disclosed. The memory chiplet includes a D2D interface of a first type for coupling to a host IC chip via multiple lanes. The D2D interface includes multiple unit interface modules, each of the multiple unit interface modules corresponding to a first set of signal path resources of a lowest granularity provided by the multiple lanes. A memory port includes a memory physical interface of a first memory type for accessing memory storage of the first memory type. The memory physical interface of the first memory type includes a second set of signal path resources corresponding to multiple memory channels of the first memory type. Mapping circuitry maps the second set of signal path resources to the first set of signal path resources in a manner that utilizes all of the first signal path resources for an integer number of the multiple unit interface modules.
Description
TECHNICAL FIELD

The disclosure herein relates to semiconductor devices, packaging and associated methods.


BACKGROUND

As integrated circuit (IC) chips such as system on chips (SoCs) become larger, the yields realized in manufacturing the chips become smaller. Decreasing yields for larger chips increases overall costs for chip manufacturers. To address the yield problem, chiplet architectures have been proposed that favor a modular approach to SoCs. The solution employs smaller sub-processing chips, each containing a well-defined subset of functionality. Chiplets thus allow for dividing a complex design, such as a high-end processor or networking chip, into several small die instead of one large monolithic die.


When accessing memory, traditional chiplet architectures often employ relatively large and complex die-to-die (D2D) interfaces for transferring data between the chiplet and a specific memory type. While beneficial in certain circumstances, many conventional D2D interfaces are typically designed to support a variety of applications. Using generic interfaces specifically for memory applications in a chiplet context is often non-optimal, with sacrifices in area and power efficiency often made in the interests of wider interface applicability.





BRIEF DESCRIPTION OF THE DRAWINGS

Embodiments of the disclosure are illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:



FIG. 1 illustrates a high-level top plan view of a multi-chip module (MCM), including a memory chiplet having a memory-centric interface signal matrix mapped to a die-to-die (D2D) interface that couples the memory chiplet to a host integrated circuit (IC) chiplet.



FIG. 2 illustrates a high-level side view of one embodiment of an advanced packaging architecture for the MCM of FIG. 1.



FIG. 3A illustrates a unit interface architecture for a UCIe die-to-die (D2D) interface for coupling the chiplets shown in FIG. 1.



FIG. 3B illustrates a unit interface architecture for a UMI D2D interface for coupling the chiplets shown in FIG. 1.



FIG. 4 illustrates one embodiment of a mapping of signals between an HBM interface and a UCIe unidirectional D2D interface employed to support two high bandwidth memory (HBM) channels.



FIG. 5 illustrates how a single HBM channel maps over multiple UCIe unit interface modules.



FIG. 6 illustrates one embodiment of how eight HBM channels map to five fully-packed UCIe unit interface modules.



FIG. 7 illustrates one embodiment of a mapping of signals between an HBM interface and a UMI bidirectional D2D interface employed to support four high bandwidth memory (HBM) channels.



FIG. 8 illustrates an embodiment of a module mapping similar to FIG. 7, and showing how five partially-packed UCIe modules map to eight HBM channels using a bidirectional D2D lane architecture.



FIG. 9 illustrates an embodiment of a module mapping similar to FIG. 8, and showing how three fully-packed UCIe modules map to sixteen HBM channels.



FIG. 10 illustrates one embodiment of a module mapping showing similar to FIGS. 7 through 9, illustrating five fully-mapped bump modules for a configurable conversion of eight HBM channels via a bidirectional lane-based D2D interface such as UMI or eight HBM channels via a unidirectional lane-based Universal Chiplet Interface Express (UCIe) D2D interface.





DETAILED DESCRIPTION

Semiconductor devices, packaging architectures and associated methods are disclosed. In one embodiment, a memory chiplet is disclosed. The memory chiplet includes a D2D interface of a first type for coupling to a host IC chip via multiple lanes. The D2D interface includes multiple unit interface modules, each of the multiple unit interface modules corresponding to a first set of signal path resources of a lowest granularity provided by the multiple lanes. A memory port includes a memory physical interface of a first memory type for accessing memory storage of the first memory type. The memory physical interface of the first memory type includes a second set of signal path resources corresponding to multiple memory channels of the first memory type. Mapping circuitry maps the second set of signal path resources to the first set of signal path resources in a manner that utilizes all of the signal path resources for an integer number of the multiple unit interface modules.


Throughout the disclosure provided herein, the term multi-chip module (MCM) is used to represent a semiconductor device that incorporates multiple semiconductor die or sub-packages in a single unitary package. An MCM may also be referred to as a system in a package (SiP). The die or sub-packages are referred to herein as chiplets. The die or sub-packages that are interconnected in an MCM or SiP are referred to herein as chiplets. Packaged die that are disposed external to an MCM or SiP, such as being mounted on a printed circuit board (PCB), are referred to herein as chips.



FIG. 1 illustrates one embodiment of an MCM, generally designated 100, that employs a package substrate 102 for mounting a host integrated circuit (IC) chiplet 104 and a memory chiplet 106. A die-to-die (D2D) interface circuit 108 provides a communications path and protocol between the host IC chiplet 104 and the memory chiplet 106. For one embodiment, the D2D interface circuit 108 takes the form of a Universal Chiplet Interface Express (UCIe) circuit that employs a UCIe physical (PHY) signaling lane architecture and a UCIe-specified signaling protocol, herein referred to as a UCIe die-to-die (D2D) interface. In another embodiment, the D2D interface circuit 108 may employ a physical interface similar to the UCIe standard, but operating in accordance with a universal memory interface (UMI) packet-based protocol, herein referred to as a UMI die-to-die (D2D) interface. For some embodiments, use of the UCIe D2D interface or the UMI D2D interface as the interface circuit 108 may be selectable for corresponding UCIe or UMI modes of operation via the use of configurable mapping circuitry, described more fully below.


Further referring to FIG. 1, the package substrate 102 may take a variety of forms, depending on the application. For some embodiments, the package substrate 102 may be realized as a “standard” package substrate, formed with an organic non-silicon material and incorporating a relatively sparse trace density appropriate for standard ball grid array (BGA) contact arrays (such as on the order of approximately one hundred to one hundred fifty microns). In other embodiments, the package substrate 102 may take the form of an “advanced” package substrate.



FIG. 2 illustrates one embodiment of the MCM 100 of FIG. 1 with an advanced package substrate 200. The advanced package substrate 200 includes a package substrate 202 that, for one embodiment, takes the form of a silicon interposer 202 disposed on a standard organic main substrate 204. The host IC chiplet 104 and the memory chiplet 106 are mounted on the silicon interposer 202. The silicon interposer 202 routes high-density signal paths, at 206, that couple the chiplets together. In some embodiments, the silicon interposer 202 may be substituted with a silicon bridge-based structure that may be embedded or mounted to the standard main substrate 204 that provides a trace density on the order of approximately twenty-five to fifty-five microns.


Referring back to FIG. 1, the host IC chiplet 104 generally includes processor circuitry 110 or other logic that performs operations on data, with the need to periodically carry out read and write data transfers with the memory chiplet 106. The processor circuitry 110 may take the form of one or more processors such as a computer processing unit (CPU), graphics processing unit (GPU), tensor processing unit (TPU), artificial intelligence (AI) processing circuitry, field-programmable gate array (FPGA) circuitry or other form of host chiplet with a need to access memory.


Further referring to FIG. 1, for situations where the UMI interface mode of operation is selected, the host IC chiplet 104 may include a communications fabric 111 for controlling communications on-chip, and for also controlling how the host IC chiplet 104 communicates off-chip with other chiplets, such as the memory chiplet 106. For one embodiment, the communications fabric 111 includes network-on-chip (NoC) circuitry, such as that disclosed in U.S. patent application Ser. No. 18/528,702, filed Dec. 4, 2023, titled: “UNIVERSAL NETWORK-ATTACHED MEMORY ARCHITECTURE”, owned by the assignee of the instant application and expressly incorporated herein by reference.


With continued reference to FIG. 1, the host IC chiplet 104 includes a host interface sub-circuit 112 of the overall D2D interface circuit 108. Consistent with the various embodiments for the D2D interface circuit 108, the host interface sub-circuit 112 may take the form of a standardized UCIe D2D interface, or a UMI interface. Further detail for one embodiment of a UMI interface is described in copending U.S. patent application Ser. No. 18/652,675, filed May 1, 2024, titled “UNIVERSAL MEMORY INTERFACE”, owned by the assignee of the instant application and expressly incorporated herein by reference.


Further referring to FIG. 1, the host interface sub-circuit 112 includes host input/output (I/O) circuitry 114 and host mapping circuitry 116. For embodiments utilizing a UCIe-based lane infrastructure, the host I/O circuitry 114 may be organized into unit interface modules, described more fully below with respect to the memory chiplet 106. The host mapping circuitry 116 generally maps an on-chip processor interface (not shown) of the processor circuitry 110 to the UCIe unit interface modules of the host I/O circuitry 114.


Further referring to FIG. 1, for one embodiment, the memory IC chiplet 106 includes a memory-centric interface 118 for accessing memory of a specific standard or type, such as high-bandwidth memory (HBM), double-data rate (DDR) memory, low-power double data rate (LPDDR), graphics double data rate (GDDR), to name but a few. For some embodiments, memory control circuitry 120 may be positioned on the memory chiplet 106 rather than the host IC chiplet 104. Positioning the memory control circuitry 120 on the memory chiplet 106 removes the need for the host IC chiplet 104 (often a costly application-specific integrated circuit) to know the type of memory being accessed, thereby allowing the host IC chiplet 104 the freedom to interact with a variety of memory types, and not constrained to one type of memory type associated with a specific on-chip memory controller.


For one embodiment, the memory chiplet 106 includes a second portion of the overall D2D interface 108, referred to herein as a memory interface sub-circuit 122. For one embodiment, the memory interface subcircuit 122 includes a D2D input/output (I/O) portion 119 that matches the host D2D unit interface circuitry 114, such as a standardized UCIe I/O configuration, or a UMI I/O configuration. A converter portion of the memory interface subcircuit 122 takes the form of mapping circuitry 124 that maps signals from the memory-centric interface circuitry 118 to the D2D I/O portion 119 of the memory interface subcircuit 122. Further details regarding various embodiments of the mapping circuitry 124 are set out below. To provide for user configurability, in some embodiments, register storage 126 may be provided on the memory chiplet 106 to store configurable parameters, such as one or more D2D interface modes for the memory chiplet 106, among other things.


For some embodiments, the memory chiplet 106 may take the form of a single-die chiplet that includes the memory control circuitry 120 and the features of the memory interface sub-circuit 122. The single-die chiplet may then be employed as a base die upon which are stacked memory die 130 for a stacked memory implementation, such as for HBM. Other embodiments may employ the single die as a buffer or intermediary between the IC chiplet 104 and memory die disposed proximate the single die on the package substrate 102 or off-MCM (not shown).


For one embodiment, the host interface subcircuit 112 of the host IC chiplet 104 connects to the memory interface subcircuit 122 of the memory chiplet 106 via multiple lanes 132. For a UCIe-based D2D interface embodiment, the multiple lanes 132 may be configured in accordance with a standardized UCIe unit interface or module architecture. A unit interface module generally corresponds to a lowest granularity of lanes to support a given interface width. One such example is an interface width of sixty-four data lanes, referred to as x64, which includes sixty-four data lanes along with supporting clock and control lanes.



FIG. 3A illustrates one embodiment of a UCIe unit interface, generally designated 300 disposed between chiplets 302 and 304. The UCIe unit interface includes a first fixed set of unidirectional data lanes 306 for transmitting data and associated clock and control lanes in a first direction, and a second set of unidirectional lanes 308 for receiving data in a second direction opposite the first direction, and a variety of control and clock lanes associated with the received data. Sideband links 310 may also be included in the UCIe unit interface architecture. For applications involving, for example, HBM memory, where as many as thirty-two or more memory channels may be employed, a plurality of UCIe unit interface modules 300 are employed, such as unit interface A (FIG. 1), unit interface B, and so on to the extent that all thirty-two channels are supported.



FIG. 3B illustrates a UMI-based D2D unknit interface architecture 312, where the multiple lanes 132 (FIG. 1) may be configured in accordance with a dynamic bidirectional lane architecture such as that disclosed in copending U.S. patent application Ser. No. 18/652,707, filed May 1, 2024, titled “UNIVERSAL MEMORY INTERFACE WITH DYNAMIC BIDIRECTIONAL DATA TRANSFERS”, owned by the assignee of the instant application and expressly incorporated herein by reference. For one embodiment, the UMI unit interface architecture 312 includes a set of N bidirectional data lanes 314, at least one egress lane 316, at least one ingress lane 318 and a sideband link 320. For one embodiment, described more fully below, UCIe modules may be reconfigured such that groups of opposing unidirectional lanes are used to mimic the operation of bidirectional lanes.


Generally, from the perspective of the host IC chiplet 104, one specific version of the UMI lane architecture partitions the set of bidirectional lanes 312 into a first sub-set of switchable bidirectional data lanes for transferring first data in a first selected direction, and a second sub-set of the bidirectional lanes for transferring second data in the same or opposite direction. The UMI interface is configured with memory transactions in mind to employ and utilize memory-centric features and functionality, thereby reducing latency and power consumption that might otherwise result from use of a generic D2D interface, such as UCIe, designed for a wide range of applications.


Use of the UCIe D2D interface 300 or the UMI D2D interface 312 to transfer signals between the chiplets 104 and 106 provides significant silicon area and power consumption benefits over previously proposed chiplet interconnect alternatives. In using either D2D interface architecture, signals traversing over the D2D interface signaling paths eventually must originate or end at the memory interface circuitry 118 on the memory chiplet 106. In an effort to more efficiently organize the D2D unit interface usage for minimum area and power usage, the memory mapping circuitry 124 maps the memory-centric signals between the memory interface circuitry 118 and the plurality of unit interface units or modules 119 to minimize the number of active modules, thus reducing power consumption and potentially the chiplet beachfront area.



FIG. 4 illustrates two tables of signals for mapping an HBM interface onto a x64 UCIe unit interface module that may be used for advanced packaging applications. At 400, a first table of signals, labeled HBM SIGNALS, identifies signal paths used by the memory-centric interface circuitry 118 that correspond to one HBM memory channel of an HBM memory device architecture. Operating at a data rate of up to 8 Gbps, and generally described above, the number of signals amounts to one-hundred-twenty, at 402, including data (64 signals), at 404, parity bits (6), at 406, address bits (18), at 408, and a variety of other control, clocking-related, and redundancy-related bits (32), at 410.


Further referring to FIG. 4, at 412, a second table of signals, labeled UCIe SIGNALS, identifies a mapping of the number of UCIe paths to support the single channel of HBM memory. For one embodiment, certain signal paths used in a memory-specific interface, such as column and row address paths, DBI, APAR and others, may be omitted, which allows for reducing the number of signaling paths from one-hundred twenty to eighty-four, at 414.



FIG. 5 illustrates a high-level organization of I/Os represented by two UCIe unit interface modules, at 502 and 504, each unit interface module representing the unit lane architecture of FIG. 3A. For one embodiment, a single bidirectional channel of HBM may be mapped to one-half of the first UCIe unit interface module 502, at 506, along with ⅛ of the second UCIe unit interface module 504, distributed at 508 and 510. For one embodiment, the HBM data lanes are mapped to the first module 502, with supporting address, control and other related signals (such as ECC, DERR, AERR, APAR) for the channel mapped as a remainder portion to the second module 504.



FIG. 6 illustrates how the module mapping of FIG. 5 scales to eight HBM channels, CH1 through CH8, which are mapped over five UCIe unit interface modules 512, 514, 516, 518 and 520. Since each HBM channel mapping involves a remainder portion of ⅛ of one module, for one embodiment, the middle module 516 includes the remainder portions from channels CH1-CH8 mapped to the four other modules 512, 514, 518 and 520. Mapping eight HBM channels to five UCIe unit interface modules enables a full complement of thirty-two HBM channels to be mapped to twenty UCIe unit interface modules. With advanced packaging contact bump pitch dimensions on the order of forty-five to fifty-five micrometers, minimizing the number of UCIe modules allows for all thirty-two channels to be accessible via a single chiplet edge. Additionally, fully packing the modules eliminates any unnecessary power consumption from underutilized partial modules.



FIG. 7 illustrates two tables of signals for mapping HBM onto multiple UCIe unit interface modules, but repurposing the unidirectional UCIe lanes into bidirectional data lanes and the support lanes shown in FIG. 3B. This may be accomplished by configuring (with supporting I/O circuitry) the UCIe unidirectional lanes and associated contact bumps to mimic the functionality of bidirectional lanes and bumps. At 700, a first table of signals, labeled HBM SIGNALS, identifies the signal paths used by the memory-centric interface circuitry 118 that correspond to one HBM memory channel of an HBM memory device architecture. This table was previously presented in FIG. 4, but reproduced here for ease of comparison to the UMI signals, which are presented in the second table 704, labeled UMI SIGNALS. Of note is the significant reduction in signal count using the UMI unit interface, from eighty-four to fifty-two signals, shown at 706. A corresponding UCIe module mapping for eight HBM channels using the UMI unit architecture mapped onto UCIe is shown in FIG. 8. Like the earlier UCIe mapping, the UMI mapping may be spread out over five UCIe modules, at 802, 804, 806, 808, and 810, but with half the data lanes of each module, resulting in approximately half the area usage at the beachfront edge of the memory chiplet 106, where the electrical connections between the memory chiplet 106 and the package substrate 102 are made.


For one embodiment, instead of mapping the eight HBM channels to five modules, three fully-packed modules may be mapped, reducing the number of active modules by forty percent. FIG. 9 illustrates one embodiment of such a mapping, with modules 902 and 904 mapped for data lanes, and module 906 mapped for partial module portions.


In some situations, mapping from the HBM interface to the UCIe PHY infrastructure using a UMI-based architecture may be avoided by providing a UMI PHY that is optimized for use in memory applications. In such an embodiment, involving mapping bidirectional HBM signals to bidirectional UMI lanes, further efficiencies in power and bandwidth are possible.


For one embodiment, the memory mapping circuitry 124 is configurable to allow for a selection between mappings for multiple D2D PHY architectures. FIG. 10 illustrates a potential module mapping that supports the use of both UCIe and UMI with the UCIe advanced package unit interface module structures. The entire resources of five modules 1002, 1004, 1006, 1008 and 1010 support a UCIe D2D configuration, while half the modules 1002, 1004, 1008, and 1010 are used to support the UMI data lane configuration. The middle module, at 1006, supports partial mappings for the four other modules in order to minimize the number of modules employed. Selections between one D2D configuration or another may be made, for example, through loading and storing a selectable value in the register circuitry 126 of the memory chiplet 106.


When received within a computer system via one or more computer-readable media, such data and/or instruction-based expressions of the above described circuits may be processed by a processing entity (e.g., one or more processors) within the computer system in conjunction with execution of one or more other computer programs including, without limitation, net-list generation programs, place and route programs and the like, to generate a representation or image of a physical manifestation of such circuits. Such representation or image may thereafter be used in device fabrication, for example, by enabling generation of one or more masks that are used to form various components of the circuits in a device fabrication process.


In the foregoing description and in the accompanying drawings, specific terminology and drawing symbols have been set forth to provide a thorough understanding of the present disclosure. In some instances, the terminology and symbols may imply specific details that are not required to practice aspects of the disclosure. For example, any of the specific numbers of bits, signal path widths, signaling or operating frequencies, component circuits or devices and the like may be different from those described above in alternative embodiments. Also, the interconnection between circuit elements or circuit blocks shown or described as multi-conductor signal links may alternatively be single-conductor signal links, and single conductor signal links may alternatively be multi-conductor signal links. Signals and signaling paths shown or described as being single-ended may also be differential, and vice-versa. Similarly, signals described or depicted as having active-high or active-low logic levels may have opposite logic levels in alternative embodiments. Component circuitry within integrated circuit devices may be implemented using metal oxide semiconductor (MOS) technology, bipolar technology or any other technology in which logical and analog circuits may be implemented. With respect to terminology, a signal is said to be “asserted” when the signal is driven to a low or high logic state (or charged to a high logic state or discharged to a low logic state) to indicate a particular condition. Conversely, a signal is said to be “deasserted” to indicate that the signal is driven (or charged or discharged) to a state other than the asserted state (including a high or low logic state, or the floating state that may occur when the signal driving circuit is transitioned to a high impedance condition, such as an open drain or open collector condition). A signal driving circuit is said to “output” a signal to a signal receiving circuit when the signal driving circuit asserts (or deasserts, if explicitly stated or indicated by context) the signal on a signal line coupled between the signal driving and signal receiving circuits. A signal line is said to be “activated” when a signal is asserted on the signal line, and “deactivated” when the signal is deasserted. Additionally, the prefix symbol “/” attached to signal names indicates that the signal is an active low signal (i.e., the asserted state is a logic low state). A line over a signal name (e.g., ‘<signal name>’) is also used to indicate an active low signal. The term “coupled” is used herein to express a direct connection as well as a connection through one or more intervening circuits or structures. Integrated circuit device “programming” may include, for example and without limitation, loading a control value into a register or other storage circuit within the device in response to a host instruction and thus controlling an operational aspect of the device, establishing a device configuration or controlling an operational aspect of the device through a one-time programming operation (e.g., blowing fuses within a configuration circuit during device production), and/or connecting one or more selected pins or other contact structures of the device to reference voltage lines (also referred to as strapping) to establish a particular device configuration or operation aspect of the device. The term “exemplary” is used to express an example, not a preference or requirement.


While aspects of the disclosure herein have been described with reference to specific embodiments thereof, it will be evident that various modifications and changes may be made thereto without departing from the broader spirit and scope of the disclosure. For example, features or aspects of any of the embodiments may be applied, at least where practicable, in combination with any other of the embodiments or in place of counterpart features or aspects thereof. Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.

Claims
  • 1. A chiplet-based multi-chip module (MCM) to couple to a base substrate, comprising: a package substrate that is separate from the base substrate;a host integrated circuit (IC) chiplet coupled to the package substrate and comprising: at least one processing element;a first die-to-die (D2D) interface of a first type to transfer memory information from the at least one processing element via a communications fabric;a memory chiplet comprising: a second D2D interface of the first type coupled to the first D2D interface via multiple lanes, the second D2D interface organized into multiple unit interface modules, each of the multiple unit interface modules corresponding to a first set of signal path resources of a lowest granularity provided by the multiple lanes;a memory port comprising a memory physical interface of a first memory type to access memory storage of the first memory type, the memory physical interface of the first memory type comprising a second set of signal path resources corresponding to multiple memory channels of a first memory type; andmapping circuitry to map the second set of signal path resources to the first set of signal path resources in a manner that utilizes all of the first set of signal path resources for an integer number of the multiple unit interface modules.
  • 2. The chiplet-based MCM of claim 1, wherein: each module corresponds to signal path resources for more than one memory channel of the first memory type.
  • 3. The chiplet-based MCM of claim 1, wherein: the first set of signal path resources comprises unidirectional data paths; andthe second set of signal path resources comprises bidirectional data paths.
  • 4. The chiplet-based MCM of claim 3, wherein: the first D2D interface of the first type comprises a Universal Chiplet Interface Express (UCIe) interface; andthe memory physical interface of the first memory type comprises a High-Bandwidth Memory (HBM) physical interface.
  • 5. The chiplet-based MCM of claim 1, wherein: the first set of signal path resources comprises bidirectional data paths; andthe second set of signal path resources comprises bidirectional data paths.
  • 6. The chiplet-based MCM of claim 5, wherein: the first D2D interface of the first type comprises a Universal Memory Interface (UMI) interface; andthe memory physical interface of the first memory type comprises a High-Bandwidth Memory (HBM) physical interface.
  • 7. The chiplet-based MCM of claim 1, wherein the mapping circuitry further comprises: a selectable first mapping circuit for a first mode of operation; anda selectable second mapping circuit for a second mode of operation.
  • 8. The chiplet-based MCM of claim 1, wherein: the first memory type comprises High-Bandwidth Memory (HBM).
  • 9. The chiplet-based MCM of claim 1, wherein: the second D2D interface, the memory port and the mapping circuitry of the memory chiplet are formed in a base die; andthe memory storage comprises at least one memory die of the first memory type stacked on the base die.
  • 10. The chiplet-based MCM of claim 1, wherein the memory chiplet further comprises: a memory controller of the first memory type.
  • 11. A memory chiplet, comprising: a D2D interface of a first type to couple to a host IC chip via multiple lanes, the D2D interface of the first type comprising multiple unit interface modules, each of the multiple unit interface modules corresponding to a first set of signal path resources of a lowest granularity provided by the multiple lanes;a memory port comprising a memory physical interface of a first memory type to access memory storage of the first memory type, the memory physical interface of the first memory type comprising a second set of signal path resources corresponding to multiple memory channels of the first memory type; andmapping circuitry to map the second set of signal path resources to the first set of signal path resources for an integer number of the multiple unit interface modules.
  • 12. The memory chiplet of claim 11, wherein: each module corresponds to signal path resources for more than one memory channel of the first memory type.
  • 13. The memory chiplet of claim 11, wherein: the first set of signal path resources comprises unidirectional data paths; andthe second set of signal path resources comprises bidirectional data paths.
  • 14. The memory chiplet of claim 13, wherein: the D2D interface of the first type comprises a Universal Chiplet Interface Express (UCIe) interface; andthe memory physical interface of the first memory type comprises a High-Bandwidth Memory (HBM) physical interface.
  • 15. The memory chiplet of claim 11, wherein: the first set of signal path resources comprises bidirectional data paths; andthe second set of signal path resources comprises bidirectional data paths.
  • 16. The memory chiplet of claim 15, wherein: the D2D interface of the first type comprises a Universal Memory Interface (UMI) interface; andthe memory physical interface of the first memory type comprises a High-Bandwidth Memory (HBM) physical interface.
  • 17. The memory chiplet of claim 11, wherein the mapping circuitry further comprises: a selectable first mapping circuit for a first mode of operation; anda selectable second mapping circuit for a second mode of operation.
  • 18. The memory chiplet of claim 11, wherein: the first memory type comprises High-Bandwidth Memory (HBM).
  • 19. The memory chiplet of claim 11, wherein: the D2D interface of the first type, the memory port and the mapping circuitry of the memory chiplet are formed in a base die; andthe memory storage comprises at least one memory die of the first memory type stacked on the base die.
  • 20. The memory chiplet of claim 11, wherein the memory chiplet further comprises: a memory controller of the first memory type.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a Non-Provisional that claims priority to U.S. Provisional Application No. 63/534,108, filed Aug. 22, 2023, entitled NULINK-ENABLED HBM WITH ADVANCE-NODE BASE DIE, which is incorporated herein by reference in its entirety.

US Referenced Citations (194)
Number Name Date Kind
4334305 Girardi Jun 1982 A
5396581 Mashiko Mar 1995 A
5677569 Choi Oct 1997 A
5892287 Hoffman Apr 1999 A
5910010 Nishizawa Jun 1999 A
6031729 Berkely Feb 2000 A
6055235 Blanc Apr 2000 A
6417737 Moloudi Jul 2002 B1
6492727 Nishizawa Dec 2002 B2
6690742 Chan Feb 2004 B2
6721313 Van Duyne Apr 2004 B1
6932618 Nelson Aug 2005 B1
7027529 Ohishi Apr 2006 B1
7248890 Raghavan Jul 2007 B1
7269212 Chau Sep 2007 B1
7477615 Oshita Jan 2009 B2
7535958 Best May 2009 B2
7593271 Ong Sep 2009 B2
7701957 Bicknell Apr 2010 B1
7907469 Sohn et al. Mar 2011 B2
7978754 Yeung Jul 2011 B2
8004330 Acimovic Aug 2011 B1
8024142 Gagnon Sep 2011 B1
8121541 Rofougaran Feb 2012 B2
8176238 Yu et al. May 2012 B2
8468381 Jones Jun 2013 B2
8483579 Fukuda Jul 2013 B2
8546955 Wu Oct 2013 B1
8704364 Banijamali et al. Apr 2014 B2
8861573 Chu Oct 2014 B2
8948203 Nolan Feb 2015 B1
8982905 Kamble Mar 2015 B2
9088334 Chakraborty Jul 2015 B2
9106229 Hutton Aug 2015 B1
9129935 Chandrasekar Sep 2015 B1
9294313 Prokop Mar 2016 B2
9349707 Sun May 2016 B1
9379878 Lugthart Jun 2016 B1
9432298 Smith Aug 2016 B1
9558143 Leidel Jan 2017 B2
9832006 Bandi Nov 2017 B1
9842784 Nasrullah Dec 2017 B2
9886275 Carlson Feb 2018 B1
9934842 Mozak Apr 2018 B2
9961812 Suorsa May 2018 B2
9977731 Pyeon May 2018 B2
10171115 Shirinfar Jan 2019 B1
10394737 Ngo Aug 2019 B1
10402363 Long et al. Sep 2019 B2
10410694 Arbel Sep 2019 B1
10439661 Heydari Oct 2019 B1
10642767 Farjadrad May 2020 B1
10678738 Dai Jun 2020 B2
10735176 Heydari Aug 2020 B1
10748852 Sauter Aug 2020 B1
10803548 Matam et al. Oct 2020 B2
10804204 Rubin et al. Oct 2020 B2
10825496 Murphy Nov 2020 B2
10855498 Farjadrad Dec 2020 B1
10935593 Goyal Mar 2021 B2
11088876 Farjadrad Aug 2021 B1
11100028 Subramaniam Aug 2021 B1
11164817 Rubin et al. Nov 2021 B2
11204863 Sheffler Dec 2021 B2
11581282 Elshirbini Feb 2023 B2
11669474 Lee Jun 2023 B1
11782865 Kochavi Oct 2023 B1
11789649 Chatterjee et al. Oct 2023 B2
11841815 Farjadrad Dec 2023 B1
11842986 Ramin Dec 2023 B1
11855043 Farjadrad Dec 2023 B1
11855056 Rad Dec 2023 B1
11892242 Mao Feb 2024 B2
11893242 Farjadrad Feb 2024 B1
11983125 Soni May 2024 B2
12001355 Dreier Jun 2024 B1
20020122479 Agazzi Sep 2002 A1
20020136315 Chan Sep 2002 A1
20040088444 Baumer May 2004 A1
20040113239 Prokofiev Jun 2004 A1
20040130347 Moll Jul 2004 A1
20040156461 Agazzi Aug 2004 A1
20050041683 Kizer Feb 2005 A1
20050134306 Stojanovic Jun 2005 A1
20050157781 Ho Jul 2005 A1
20050205983 Origasa Sep 2005 A1
20060060376 Yoon Mar 2006 A1
20060103011 Andry May 2006 A1
20060158229 Hsu Jul 2006 A1
20060181283 Wajcer Aug 2006 A1
20060188043 Zerbe Aug 2006 A1
20060250985 Baumer Nov 2006 A1
20060251194 Bublil Nov 2006 A1
20070281643 Kawai Dec 2007 A1
20080063395 Royle Mar 2008 A1
20080143422 Lalithambika Jun 2008 A1
20080186987 Baumer Aug 2008 A1
20080222407 Carpenter Sep 2008 A1
20090113158 Schnell Apr 2009 A1
20090154365 Diab Jun 2009 A1
20090174448 Zabinski Jul 2009 A1
20090220240 Abhari Sep 2009 A1
20090225900 Yamaguchi Sep 2009 A1
20090304054 Tonietto Dec 2009 A1
20100177841 Yoon Jul 2010 A1
20100197231 Kenington Aug 2010 A1
20100294547 Hatanaka Nov 2010 A1
20110029803 Redman-White Feb 2011 A1
20110038286 Ta Feb 2011 A1
20110167297 Su Jul 2011 A1
20110187430 Tang Aug 2011 A1
20110204428 Erickson Aug 2011 A1
20110267073 Chengson Nov 2011 A1
20110293041 Luo Dec 2011 A1
20120082194 Tam Apr 2012 A1
20120182776 Best Jul 2012 A1
20120192023 Lee Jul 2012 A1
20120216084 Chun Aug 2012 A1
20120327818 Takatori Dec 2012 A1
20130181257 Ngai Jul 2013 A1
20130222026 Havens Aug 2013 A1
20130249290 Buonpane Sep 2013 A1
20130285584 Kim Oct 2013 A1
20140016524 Choi Jan 2014 A1
20140048947 Lee Feb 2014 A1
20140126613 Zhang May 2014 A1
20140192583 Rajan Jul 2014 A1
20140269860 Brown Sep 2014 A1
20140269983 Baeckler Sep 2014 A1
20150012677 Nagarajan Jan 2015 A1
20150172040 Pelekhaty Jun 2015 A1
20150180760 Rickard Jun 2015 A1
20150206867 Lim Jul 2015 A1
20150271074 Hirth Sep 2015 A1
20150326348 Shen Nov 2015 A1
20150358005 Chen Dec 2015 A1
20160056125 Pan Feb 2016 A1
20160071818 Wang Mar 2016 A1
20160111406 Mak Apr 2016 A1
20160217872 Hossain Jul 2016 A1
20160294585 Rahman Oct 2016 A1
20170317859 Hormati Nov 2017 A1
20170331651 Suzuki Nov 2017 A1
20180010329 Golding, Jr. Jan 2018 A1
20180082981 Gowda Mar 2018 A1
20180137005 Wu May 2018 A1
20180175001 Pyo Jun 2018 A1
20180190635 Choi Jul 2018 A1
20180196767 Linstadt Jul 2018 A1
20180210830 Malladi et al. Jul 2018 A1
20180315735 Delacruz Nov 2018 A1
20190044764 Hollis Feb 2019 A1
20190058457 Ran Feb 2019 A1
20190108111 Levin Apr 2019 A1
20190198489 Kim Jun 2019 A1
20190319626 Dabral Oct 2019 A1
20200051961 Rickard Feb 2020 A1
20200105718 Collins et al. Apr 2020 A1
20200257619 Sheffler Aug 2020 A1
20200364142 Lin Nov 2020 A1
20200373286 Dennis Nov 2020 A1
20210056058 Lee Feb 2021 A1
20210082875 Nelson Mar 2021 A1
20210117102 Grenier Apr 2021 A1
20210181974 Ghosh Jun 2021 A1
20210183842 Fay Jun 2021 A1
20210193567 Cheah et al. Jun 2021 A1
20210225827 Lanka Jul 2021 A1
20210258078 Meade Aug 2021 A1
20210311900 Malladi Oct 2021 A1
20210365203 O Nov 2021 A1
20210405919 K Dec 2021 A1
20220051989 Agarwal Feb 2022 A1
20220121381 Brewer Apr 2022 A1
20220159860 Winzer May 2022 A1
20220179792 Banerjee Jun 2022 A1
20220222198 Lanka Jul 2022 A1
20220223522 Scearce Jul 2022 A1
20220237138 Lanka Jul 2022 A1
20220254390 Gans Aug 2022 A1
20220327276 Seshan Oct 2022 A1
20220334995 Das Sharma Oct 2022 A1
20220342840 Das Sharma Oct 2022 A1
20220350756 Burstein Nov 2022 A1
20220391114 Richter Dec 2022 A1
20230039033 Zarkovsky Feb 2023 A1
20230068802 Wang Mar 2023 A1
20230090061 Zarkovsky Mar 2023 A1
20230181599 Erickson May 2023 A1
20230359579 Madhira Nov 2023 A1
20240007234 Harrington Jan 2024 A1
20240028208 Kim Jan 2024 A1
20240241840 Im Jul 2024 A1
20240273041 Lee Aug 2024 A1
Non-Patent Literature Citations (12)
Entry
Block Memory Generator v8.2 LogiCORE IP Product Guide Vivado Design Suite; Xilinx; Apr. 1, 2015.
Farjadrad et al., “A Bunch of Wires (B0W) Interface for Inter-Chiplet Communication”, 2019 IEEE Symposium on High-Performance Interconnects (HOTI), pp. 27-30, Oct. 2019.
Universal Chiplet Interconnect Express (UCIe) Specification Rev. 1.0, Feb. 24, 2022.
Kurt Lender et al., “Questions from the Compute Express Link Exploring Coherent Memory and Innovative Cases Webinar”, Apr. 13, 2020, CXL Consortium, pp. 1-6.
Planet Analog, “The basics of SerDes (serializers/deserializers) for interfacing”, Dec. 1, 2020, Planet Analog, as preserved by the internet Archive, pp. 1-9.
“Hot Chips 2017: Intel Deep Dives Into EMIB”, TomsHardware.com; Aug. 25, 2017.
“Using Chiplet Encapsulation Technology to Achieve Processing-In-Memory Functions”; Micromachines 2022, 13, 1790; https://www.mdpi.com/journal/micromachines; Tian et al.
“Multiport memory for high-speed interprocessor communication in MultiCom;” Scientia Iranica, vol. 8, No. 4, pp. 322-331; Sharif University of Technology, Oct. 2001; Asgari et al.
Universal Chiplet Interconnect Express (UCIe) Specification, Revision 1.1, Version 1.0, Jul. 10, 2023.
Hybrid Memory Cube Specification 2.1, Hybrid Memory Cube Consortium, HMC-30G-VSR PHY, 2014.
“Using Dual Port Memory as Interconnect”, EE Times, Apr. 26, 2005, Daniel Barry.
Quartus II Handbook Version 9.0 vol. 4: SOPC Builder; “System Interconnect Fabric for Memory-Mapped Interfaces”; Mar. 2009.
Provisional Applications (1)
Number Date Country
63543108 Oct 2023 US