Method and apparatus for gas temperature control in a semiconductor processing system

Information

  • Patent Grant
  • 6955211
  • Patent Number
    6,955,211
  • Date Filed
    Wednesday, July 17, 2002
    21 years ago
  • Date Issued
    Tuesday, October 18, 2005
    18 years ago
Abstract
A method and apparatus for controlling the temperature of at least one gas flowing into a processing chamber is provided. In one embodiment, a gas temperature control apparatus for semiconductor processing includes a gas delivery line coupled between a processing chamber and a gas source. An enclosure substantially encloses the gas delivery line and is adapted to flow a heat transfer fluid away from the processing chamber.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


Embodiments of the present invention generally relate to a method and apparatus for gas temperature control in a semiconductor processing system.


2. Description of the Related Art


Reliably producing sub-micron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large scale integration (ULSI) of semiconductor devices. However, as circuit technology continues to evolve, the shrinking dimensions of interconnects in VLSI and ULSI technology have placed growing demands for improved processing capabilities. The multilevel interconnects that lie at the heart of this technology require precise processing of high aspect ratio features, such as vias and other interconnects. Reliable formation of these interconnects is very important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates.


As circuit densities increase, the widths of vias, contacts, and other features, as well as the dielectric materials between them, decrease to sub-micron dimensions (e.g., less than 0.20 micrometers or less), whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increase. Many traditional deposition processes have difficulty filling sub-micron structures where the aspect ratio exceeds 4:1, and particularly where the aspect ratio exceeds 10:1. Therefore, there is a great amount of ongoing effort being directed at the formation of substantially void-free and seam-free sub-micron features having high aspect ratios.


Atomic layer deposition is one deposition technique being explored for the deposition of material layers over features having high aspect ratios. One example of atomic layer deposition comprises the sequential introduction of pulses of gases. For instance, one cycle for the sequential introduction of pulses of gases may comprise a pulse of a first reactant gas, followed by a pulse of a purge gas and/or a pump evacuation, followed by a pulse of a second reactant gas, and followed by a pulse of a purge gas and/or a pump evacuation. The term “gas” as used herein is defined to include a single gas or a plurality gases. Sequential introduction of separate pulses of the first reactant and the second reactant may result in the alternating, self-limiting absorption of monolayers of the reactants on the surface of the substrate, thus, forming a monolayer of material for each cycle. The cycle may be repeated to deposit material to a desired thickness. A pulse of a purge gas and/or a pump evacuation between the pulses of the first reactant gas and the pulses of the second reactant gas reduces the likelihood of gas phase reactions of the reactants due to excess amounts of the reactants remaining in the chamber.


As a single monolayer of material is deposited in each cycle, the ability to rapidly deliver and remove reactant and purge gases from the chamber has a substantial effect on substrate throughput. Using smaller volumes of gases reduces cycles times. However, when smaller volumes of gases are used, it becomes critical that the gas does not condense on the walls of the processing equipment. At such small volumes, condensation of the gas on the processing equipment walls prevents the accurate control and measured delivery of the gases to the processing chamber. Therefore, temperature control of gases delivered to a processing chamber becomes much more important than in conventional chemical vapor deposition (CVD) processing equipment.


Therefore, there is a need for processing methods and apparatus that enhance temperature control of gases delivered to semiconductor processing chambers.


SUMMARY OF THE INVENTION

One aspect of the present invention generally provides a gas temperature control apparatus for controlling the temperature of process gases flowing into a processing chamber. In one embodiment, a gas temperature control apparatus for semiconductor processing includes a gas delivery line coupled between a processing chamber and a gas source. An enclosure substantially encloses the gas delivery line and is adapted to flow a heat transfer fluid away from the processing chamber.


In another aspect of the invention, a method for controlling the temperature of gases flowing into a processing chamber is provided. In one embodiment, a method for controlling the temperature of gases flowing into a semiconductor processing chamber from a gas source includes flowing a heat transfer fluid through an enclosure from a first end disposed proximate the processing chamber towards a second end disposed proximate the gas source and flowing a gas through a gas delivery line substantially enclosed within the enclosure from the gas source to the processing chamber.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.



FIG. 1 is a simplified schematic view of a processing system utilizing a gas temperature control apparatus;



FIG. 2 is a sectional view of one embodiment of the gas temperature control apparatus;



FIG. 3 depicts a sectional perspective view of one embodiment of the gas temperature control apparatus; and



FIG. 4 is a flow diagram of a method for controlling temperature of a process gas.





To facilitate understanding, identical reference numerals have been used, wherever possible, to designate identical elements that are common to the figures.


DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT


FIG. 1 is a simplified schematic of a processing system 110 having a gas delivery system 104 connecting a gas source 102 to a processing chamber 106. The gas delivery system 104 includes at least one gas delivery line 108 coupled between the gas source 102 and the processing chamber 106 and a gas temperature control apparatus 100 for controlling the temperature of at least one gas delivered via the gas delivery line 108 to the processing chamber 106.


The gas source 102 may be a single or multiple source coupled to the gas delivery system 104. The gas source 102 may be a local or remote vessel, a centralized facility source that supplies the gas throughout the facility, or any other suitable source of gas to be delivered to the processing chamber 106. The temperature controlled gases delivered to the processing chamber 106 may be a process gas, purge gas, cleaning gas, or other gas.


The processing chamber 106 is typically adapted for use in atomic layer deposition but may also be adapted for other deposition or substrate processing techniques such as chemical vapor deposition and physical vapor deposition, among others. Example of chambers that may be adapted to benefit from the invention are described in U.S. patent application Ser. No. 10/032,284; U.S. patent application Ser. No. 10/032,293; and U.S. patent application Ser. No. 10/016,300; which are hereby incorporated by reference.



FIG. 2 is a sectional view of one embodiment of a gas temperature control apparatus 100. The gas temperature control apparatus 100 includes an enclosure 250 which substantially encases at least a portion of the gas delivery line 108, defining a heat transfer fluid channel. The gas delivery line 108 includes at least a gas line 212 that couples a gas source 102 to a processing chamber 106. The gas line 212 may include multiple sections coupled by fittings 230. Valves 222 may also be disposed in the gas line 212. The configuration of the gas line 212 typically depends on the relative locations of the gas source 102 and the processing chamber 106 and the desired route of the gas line 212.


In one embodiment, the gas delivery line 108 includes a process gas generator 210. The process gas generator 210 is typically adapted to provide a process gas from a precursor 236. The process gas generator 210 includes an ampoule 240 with an input port 242 coupled to the gas source 102 and an output port 246 coupled to the gas line 212. The precursor 236 is disposed in the ampoule 240 and is either a liquid which vaporizes to a gas at a predefined temperature and pressure or a solid which sublimates to a gas at a predefined temperature and pressure. A gas from the gas source 102 flows through the ampoule 240 and carries the generated process gas into the processing chamber 106. Examples of suitable liquid precursors 236 include tetrakis (dimethylamino) tantalum (TDMAT), tertbutyliminotris (diethylamino) tantalum (TBTDET), and pentakis (ethylmethylamino) tantalum (PEMAT), among others. Examples of suitable solid precursors 236 include pentakis (dimethylamino) tantalum (PDMAT), xenon difluoride, nickel carbonyl, and tungsten hexacarbonyl, among others. One example of a suitable process gas generator 210 is described in U.S. patent application Ser. No. 10/198,727 filed Jul. 17, 2002 by Ganguli et al., which is hereby incorporated by reference.


The enclosure 250 of the gas temperature control apparatus 100 generally includes a conduit 218 that substantially encloses the gas delivery line 108. The conduit 218 has a heat transfer fluid inlet port 224 formed proximate a first end 226 proximate the processing chamber 106 and a heat transfer fluid outlet port 214 formed proximate a second end 206. A heat transfer fluid source 234 is coupled to the heat transfer fluid inlet port 224 and is adapted to flow a heat transfer fluid through the conduit 218 at a predefined temperature and rate. The heat transfer fluid source 234 may include a fluid supply, a heater, temperature sensors, valves, control circuitry, and the like (not shown) to control the temperature of the fluid introduced into the conduit 218. In order to prevent a non-uniform temperature gradient in the gas line 212, the conduit 218 is sized to avoid any restrictions in the flow of the heat transfer fluid due to fittings, valves, or other obstructions present in or on the gas delivery line 108.


A port 228 is formed in the first end 226 of the conduit 218 to allow the gas line 212 to pass through to the processing chamber 106. The first end 226 of the conduit 218 is typically disposed proximate the processing chamber 106 to limit cooling of the gases entering the processing chamber 106 through the gas line 212. In one embodiment, the first end 226 of the conduit 218 is coupled directly to the processing chamber 106 to provide temperature control of gases flowing into the processing chamber 106 from the gas line 212.


The path from the gas source 102 to the processing chamber 106 may not be a straight line and, therefore, the gas line 212 may not be in a straight line configuration. The conduit 218 follows the twists and turns of the gas line 212. Joints 216 which may exist at bends or other locations in the conduit 218 are typically butted together. Alternatively, the joints 216 may be taped, glued, press-fit, or otherwise sealed together to better contain the heated fluid flowing through the conduit 218. Optionally, spacers 232 may be used to support the gas line 212 and the conduit 218 and maintain their alignment. Spacers 232 may be of any design, but should not restrict the flow of the heated fluid through the conduit 218, thereby causing non-uniform heat transfer to the gas line 212.


In one embodiment, the enclosure 250 includes a container 202 coupled to the second end 206 of the conduit 218. The container 202 substantially encloses a process gas generator 210 that is coupled to the gas line 212. The gas line 212 passes through an opening 244 formed in a top 204 of the container 202. A heat transfer fluid exhaust vent 208 is formed in the bottom 238 of the container 202.



FIG. 3 depicts a sectional view of one embodiment of the conduit 218. A plurality of inner portions 302A, 302B and a plurality outer portions 304A, 304B (two of which are shown) are held together by one or more coupling devices 310 to form the conduit 218. The inner portions 302A, 302B are fabricated of metal or other material which is non-reactive with the heat transfer fluid and is compatible with operating temperatures. The inner portions 302A, 302B provide structural support and protect against degradation of the insulative properties of the outer portions 304A, 304B. The outer portions 304A, 304B are fabricated from an insulative material which slows heat loss from the conduit 218. In one embodiment, the insulative material is silicon rubber. However, any material otherwise compatible with the processing conditions which provides sufficient insulative properties will also suffice.


Optionally, the outer portions 304A, 304B may obtain further insulative qualities from air pockets 306 formed in the outer portions 304A, 304B. In the illustrated embodiment, the inner portions 302A, 302B and the outer portions 304A, 304B are semicircular halves which mate into a tubular shape. However, other shapes and sizes of the portions are contemplated which also substantially contain the heat transfer fluid within the conduit 218. Alternately, the conduit 218 may be made of a single piece of material, or multiple plies of material, which may be formed into a tubular shape to circumscribe the gas line 212 and possesses the insulative and physical properties to withstand the operating temperatures.


The coupling device 310 may be any device which serves to couple the two portions together such as adhesive, adhesive tape, hook and loop fasteners (such as VELCRO®), zippers, an o-ring, a cable tie, a metallic or plastic ring, a spring, a crimped band, a latch, a rivet, a bolt, an elastomer, press-fit band, an elastomeric band, and the like. Although the coupling device 310 is shown as running along the seam between the outer portions 308A, 308B, it is also contemplated that the coupling device 310 may instead be wrapped around the outer circumference of the conduit 218, rather than along the seam. For example, adhesive, a cable tie, a band, adhesive tape, hook and loop fasteners, and the like, may be wrapped around the conduit to hold it in place or the pieces may press-fit together. In one embodiment, the inner portions 302A, 302B are stainless steel and the outer portions 304A, 304B are silicon rubber and the coupling device 310 is adhesive tape.


In operation, process and/or purge gas(es) are introduced into the processing chamber 106 during a processing step. The valve 222 in the pipe 212 of the gas delivery line 108 is open to allow the gas(es) to flow from the gas source 102 and/or process gas generator 210 through the pipe 212 and into the processing chamber 106. A heat transfer fluid is introduced into the enclosure 250 that surrounds the pipe 212 through the heat transfer fluid inlet port 224 from the heat transfer fluid source 234. The heat transfer fluid may be nitrogen, air, or other inert fluid. The heat transfer fluid flows through the conduit 218 into the container 202 and finally exits out a vent 208. The heat transfer fluid transfers heat to or from the gas line 212 and the process gas generator 210 creating a controllable temperature profile of the process gases flowing to the process chamber 106. In one embodiment, nitrogen heated to about 90 degrees Celsius is introduced at a flow rate of about 30 L/min. to control the temperature of the gases flowing through the gas line 212. The rate at which gases flow through the gas line 212 is typically in the range of 100-300 sccm. The carrier gas is typically provides at a temperature of about 65 to about 90 degrees Celsius. This results in a temperature profile of the gas in the gas line 212 of from about 90 degrees Celsius near the processing chamber 106 to about 70 degrees Celsius at the process gas source 210. This temperature profile advantageously prevents the precursor from condensing in the processing chamber 106 as it exits the gas line 212. Alternatively, other fluids at other temperatures may be flowed through the conduit 218 in order to control the temperature of gases flowing through the gas line 212 and prevent condensation before reaching the processing chamber 106.


In another embodiment, the flow direction of the heat transfer gas in the conduit 218 may be reversed. For example, heated nitrogen or other gas may be introduced into the conduit through the heat transfer fluid outlet port 214 (or the vent 208) and exit the conduit 218 through the heat transfer fluid inlet port 224. The temperature of the heat transfer gas is maintained at a level that prevents condensation within the gas line 212.



FIG. 4 depicts a flow diagram of a method 400 for controlling the temperature of process gases flowing into a semiconductor processing chamber 106. At step 402, a heat transfer fluid is flowed through a conduit 218 from a first end 226 disposed proximate a processing chamber 106 towards a second end 206 disposed proximate a gas source 102. At step 404, a process gas is flowed through a gas line 212 disposed within the conduit 218 from the gas source 102 into the processing chamber 106. In one embodiment, the step of flowing a heat transfer fluid further includes directing the heat transfer fluid flowing through the conduit 218 into a canister 202 surrounding the process gas generator 210.


While foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims
  • 1. A gas temperature control apparatus for semiconductor processing, comprising: a processing chamber; a gas source; a gas delivery line coupled at a first end to the processing chamber and coupled at a second end to the gas source; an enclosure enclosing at least a portion of the gas delivery line and defining a heat transfer fluid channel adapted to flow a heat transfer fluid therein towards the second end of the gas delivery line; and a process gas generator disposed within the enclosure and fluidly coupled between the gas source and the gas delivery line.
  • 2. The gas temperature control apparatus of claim 1, wherein the process gas generator contains a material adapted to form a semiconductor process gas at a predefined temperature and pressure.
  • 3. The gas temperature control apparatus of claim 2, wherein the material is selected from the group consisting of pentakis (dimethylamino) tantalum (PDMAT), tetrakis (dimethylamino) titanium (TDMAT), tertbutyliminotris (diethylamino) tantalum (TBTDET), pentakis (ethylmethylamino) tantalum (PEMAT), xenon difluoride, nickel carbonyl, and tungsten hexacarbonyl.
  • 4. A gas temperature control apparatus for semiconductor processing, comprising: a processing chamber; a gas source; a gas delivery line coupled at a first end to the processing chamber and coupled at a second end to the gas source; and an enclosure enclosing at least a portion of the gas delivery line, wherein the enclosure comprises an inner portion and an outer portion about the inner portion and defining a heat transfer fluid channel adapted to flow a heat transfer fluid therein towards the second end of the gas delivery line, and wherein the inner portion is comprised of stainless steel and the outer portion is comprised of silicon rubber.
  • 5. The gas temperature control apparatus of claim 4, wherein the outer portion further comprises air pockets formed in silicon rubber.
  • 6. A gas temperature control apparatus for semiconductor processing comprising: a processing chamber; a gas source; a process gas generator coupled to the gas source; a gas delivery line coupled at a first end to the process gas generator and coupled at a second end to the processing chamber; and means for creating a declining temperature gradient in a direction away from the processing chamber.
  • 7. The gas temperature control apparatus of claim 6, wherein the means for creating a declining temperature gradient comprises: an enclosure substantially enclosing the gas delivery line and the process gas generator, the enclosure adapted for flowing a heat transfer fluid therein towards the first end of the gas delivery line.
  • 8. The gas temperature control apparatus of claim 7, wherein the enclosure has an inlet formed therethrough proximate the processing chamber.
  • 9. The gas temperature control apparatus of claim 8, wherein the enclosure further comprises an exhaust vent formed proximate the gas source.
  • 10. The gas temperature control apparatus of claim 7, further comprising at least one spacer coupled between the gas delivery line and the enclosure.
  • 11. The gas temperature control apparatus of claim 7, wherein the enclosure further comprises: a metal inner portion; and an insulative outer portion.
  • 12. The gas temperature control apparatus of claim 11, wherein the inner portion is comprised of stainless steel and the outer portion is comprised of silicon rubber.
  • 13. The gas temperature control apparatus of claim 11, wherein the outer portion further comprises air pockets formed in silicon rubber.
  • 14. The gas temperature control apparatus of claim 7, wherein the enclosure further comprises multiple sections.
  • 15. The gas temperature control apparatus of claim 14, further comprising at least one coupling device which secures the sections of the enclosure together.
  • 16. The gas temperature control apparatus of claim 15, wherein at least one of the coupling devices is selected from the group consisting of adhesive, adhesive tape, hook and loop fasteners, zippers, an o-ring, a cable tie, a metallic ring, a plastic ring, a spring, a crimped band, a latch, a rivet, a bolt, an elastomer, press-fit band, and an elastomeric band.
  • 17. The gas temperature control apparatus of claim 6, wherein the process gas generator contains a material adapted to form a semiconductor process gas at a predefined temperature and pressure.
  • 18. The gas temperature control apparatus of claim 17, wherein the material is selected from the group consisting of pentakis (dimethylamino) tantalum (PDMAT), tetrakis (dimethylamino) titanium (TDMAT), tertbutyliminotris (diethylamino) tantalum (TBTDET), pentakis (ethylmethylamino) tantalum (PEMAT), xenon difluoride, nickel carbonyl, and tungsten hexacarbonyl.
  • 19. The gas temperature control apparatus of claim 17, wherein the gas source provides argon, helium, or nitrogen and the heat transfer fluid is nitrogen or air.
US Referenced Citations (137)
Number Name Date Kind
4058430 Suntola et al. Nov 1977 A
4066481 Manasevit et al. Jan 1978 A
4193835 Inoue et al. Mar 1980 A
4389973 Suntola et al. Jun 1983 A
4413022 Suntola et al. Nov 1983 A
4529427 French Jul 1985 A
4650539 Irvine et al. Mar 1987 A
4834831 Nishizawa et al. May 1989 A
4845054 Mitchener Jul 1989 A
4950621 Irvine et al. Aug 1990 A
4993357 Scholz Feb 1991 A
5186120 Ohnishi et al. Feb 1993 A
5225366 Yoder Jul 1993 A
5262356 Fujii Nov 1993 A
5281274 Yoder Jan 1994 A
5294286 Nishizawa et al. Mar 1994 A
5308433 Otsuka et al. May 1994 A
5374570 Nasu et al. Dec 1994 A
5441703 Jurgensen Aug 1995 A
5443647 Aucoin et al. Aug 1995 A
5480818 Matsumoto et al. Jan 1996 A
5483919 Yokoyama et al. Jan 1996 A
5503875 Imai et al. Apr 1996 A
5531183 Sivaramakrishnam et al. Jul 1996 A
5616208 Lee Apr 1997 A
5674786 Turner et al. Oct 1997 A
5711811 Suntola et al. Jan 1998 A
5796116 Nakata et al. Aug 1998 A
5807792 Ilg et al. Sep 1998 A
5835677 Li et al. Nov 1998 A
5855680 Soininen et al. Jan 1999 A
5879459 Gadgil et al. Mar 1999 A
5916365 Sherman Jun 1999 A
5923056 Lee et al. Jul 1999 A
6015590 Suntola et al. Jan 2000 A
6015917 Bhandari et al. Jan 2000 A
6042652 Hyun et al. Mar 2000 A
6084302 Sandhu Jul 2000 A
6124158 Dautartas et al. Sep 2000 A
6139700 Kang et al. Oct 2000 A
6144060 Park et al. Nov 2000 A
6174377 Doering et al. Jan 2001 B1
6174809 Kang et al. Jan 2001 B1
6183563 Choi et al. Feb 2001 B1
6197683 Kang et al. Mar 2001 B1
6200893 Sneh Mar 2001 B1
6203613 Gates et al. Mar 2001 B1
6207487 Kim et al. Mar 2001 B1
6231672 Choi et al. May 2001 B1
6270572 Kim et al. Aug 2001 B1
6284646 Leem Sep 2001 B1
6287965 Kang et al. Sep 2001 B1
6305314 Sneh et al. Oct 2001 B1
6306216 Kim et al. Oct 2001 B1
6342277 Sherman Jan 2002 B1
6348376 Lim et al. Feb 2002 B2
6358829 Yoon et al. Mar 2002 B2
6372598 Kang et al. Apr 2002 B2
6379748 Bhandari et al. Apr 2002 B1
6391785 Satta et al. May 2002 B1
6399491 Jeon et al. Jun 2002 B2
6416577 Suntola et al. Jul 2002 B1
6416822 Chiang et al. Jul 2002 B1
6428859 Chiang et al. Aug 2002 B1
6447607 Soininen et al. Sep 2002 B2
6451119 Sneh et al. Sep 2002 B2
6451695 Sneh Sep 2002 B2
6468924 Lee et al. Oct 2002 B2
6475276 Elers et al. Nov 2002 B1
6475910 Sneh Nov 2002 B1
6478872 Chae et al. Nov 2002 B1
6481945 Hasper et al. Nov 2002 B1
6482262 Elers et al. Nov 2002 B1
6482733 Raaijmakers Nov 2002 B2
6511539 Raaijmakers Jan 2003 B1
6551406 Kilpi Apr 2003 B2
20010000866 Sneh et al. May 2001 A1
20010002280 Sneh May 2001 A1
20010009140 Bondestan et al. Jul 2001 A1
20010009695 Saanila et al. Jul 2001 A1
20010011526 Doering et al. Aug 2001 A1
20010013312 Soininen et al. Aug 2001 A1
20010014371 Kilpi Aug 2001 A1
20010024387 Raaijmakers et al. Sep 2001 A1
20010025979 Kim et al. Oct 2001 A1
20010028924 Sherman Oct 2001 A1
20010034123 Jeon et al. Oct 2001 A1
20010041250 Werkhoven et al. Nov 2001 A1
20010042523 Kesala Nov 2001 A1
20010042799 Kim et al. Nov 2001 A1
20010054377 Lindfors et al. Dec 2001 A1
20010054730 Kim et al. Dec 2001 A1
20010054769 Raaijmakers et al. Dec 2001 A1
20020000196 Park Jan 2002 A1
20020000598 Kang et al. Jan 2002 A1
20020007790 Park Jan 2002 A1
20020020869 Park et al. Feb 2002 A1
20020021544 Cho et al. Feb 2002 A1
20020031618 Sherman Mar 2002 A1
20020041931 Suntola et al. Apr 2002 A1
20020048635 Kim et al. Apr 2002 A1
20020052097 Park May 2002 A1
20020066411 Chiang et al. Jun 2002 A1
20020068458 Chiang et al. Jun 2002 A1
20020073924 Chiang et al. Jun 2002 A1
20020076481 Chiang et al. Jun 2002 A1
20020076507 Chiang et al. Jun 2002 A1
20020076508 Chiang et al. Jun 2002 A1
20020076837 Hujanen et al. Jun 2002 A1
20020082296 Verschoor et al. Jun 2002 A1
20020086106 Park et al. Jul 2002 A1
20020092471 Kang et al. Jul 2002 A1
20020094689 Park Jul 2002 A1
20020098627 Pomarede et al. Jul 2002 A1
20020104481 Chiang et al. Aug 2002 A1
20020106536 Lee et al. Aug 2002 A1
20020108570 Lindfors Aug 2002 A1
20020134307 Choi Sep 2002 A1
20020144655 Chiang et al. Oct 2002 A1
20020144657 Chiang et al. Oct 2002 A1
20020146511 Chiang et al. Oct 2002 A1
20020155722 Satta et al. Oct 2002 A1
20020162506 Sneh et al. Nov 2002 A1
20020164421 Chiang et al. Nov 2002 A1
20020164423 Chiang et al. Nov 2002 A1
20020177282 Song Nov 2002 A1
20020182320 Leskela et al. Dec 2002 A1
20020187256 Elers et al. Dec 2002 A1
20020197402 Chiang et al. Dec 2002 A1
20030004723 Chihara Jan 2003 A1
20030013320 Kim et al. Jan 2003 A1
20030031807 Elers et al. Feb 2003 A1
20030042630 Babcoke et al. Mar 2003 A1
20030049942 Haukka et al. Mar 2003 A1
20030072975 Shero et al. Apr 2003 A1
20030075273 Kilpela et al. Apr 2003 A1
20030075925 Lindfors et al. Apr 2003 A1
Foreign Referenced Citations (35)
Number Date Country
0 435 008 Jul 1991 EP
1 167 569 Jan 2002 EP
1120650 Jul 1968 GB
2 355 727 May 2001 GB
58098917 Jun 1983 JP
4291916 Sep 1992 JP
5047666 Feb 1993 JP
5206036 Aug 1993 JP
5234899 Sep 1993 JP
5270997 Oct 1993 JP
6224138 May 1994 JP
2000319772 Mar 2000 JP
2001020075 Nov 2000 JP
2001111000 Apr 2001 JP
2001172767 Jun 2001 JP
WO 9617107 Jun 1996 WO
WO 9901595 Jan 1999 WO
WO 9929924 Jun 1999 WO
WO 9965064 Dec 1999 WO
WO 0016377 Mar 2000 WO
WO 0054320 Sep 2000 WO
WO 0079576 Dec 2000 WO
WO 0115220 Mar 2001 WO
WO 0117692 Mar 2001 WO
WO 0127346 Apr 2001 WO
WO 0127347 Apr 2001 WO
WO 0129280 Apr 2001 WO
WO 0129891 Apr 2001 WO
WO 0129893 Apr 2001 WO
WO 0136702 May 2001 WO
WO 0166832 Sep 2001 WO
WO 0208485 Jan 2002 WO
WO 0208488 Jan 2002 WO
WO 0243115 May 2002 WO
WO 0245167 Jun 2002 WO
Related Publications (1)
Number Date Country
20040011504 A1 Jan 2004 US