As integrated circuit (IC) technologies are continually progressing to smaller technology nodes, such as a 32 nm technology node and below, simply scaling down similar designs used at larger nodes often results in inaccurate or poorly shaped device features due to the resolution limit of conventional optical lithography technology. Examples of inaccurate or poorly shaped device features include rounding, pinching, necking, bridging, dishing, erosion, metal line thickness variations, and other characteristics that affect device performance. One approach to improving image printing quality on a wafer is to use restrictive design rules (RDR) in IC layout designs. An example IC layout according to RDR includes parallel line patterns extending in the same direction and spaced by a line pitch. The line width and line pitch are designed so as to improve image printing quality by utilizing constructive light interference.
However, in a large-scale IC, not all patterns are designed according to the same design rules. For example, an IC may include both logic circuits and embedded static random-access memory (SRAM) cells. The SRAM cells may use smaller line pitches for area reduction, while the logic circuits may use larger line pitches. For another example, an IC may include multiple off-the-shelf macros, each of which has been laid out according to its own set of RDRs. In such ICs, multiple layout blocks may be used. Each layout block is designed according to a set of RDRs and different layout blocks may use different RDRs. Regions between any two layout blocks are provided to accommodate printing inaccuracy such as line end rounding, as well as to meet certain spacing requirements for IC manufacturing. These regions become a concern when greater device integration is desired.
Aspects of the present disclosure are best understood from the following detailed description when they are read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
The design house (or design team) 120 generates an IC design layout 122. The IC design layout 122 includes various geometrical patterns designed for the IC 150. An example IC design layout 122 is shown in
The various geometrical patterns in the IC design layout 122, such as the device patterns 324, 328, 344 and 348, may correspond to patterns of metal, oxide, or semiconductor layers that make up various components of the IC 150 to be fabricated. The various components may include active regions, gate electrodes, metal lines or vias of an interlayer interconnection, and openings for bonding pads, which are to be formed in a semiconductor substrate (such as a silicon wafer) and various material layers disposed on the semiconductor substrate. In an embodiment, the device patterns 324, 328, 344 and 348 are transferred to a mandrel structure used in a patterning process for IC 150 fabrication. In some embodiments, dummy patterns are added outside the layout blocks 320 and 340 to improve pattern density, which will be described in more details later. The design house 120 implements a proper design procedure to form the IC design layout 122. The design procedure may include logic design, physical design, and/or place and route. The IC design layout 122 is presented in one or more data files having information of the geometrical patterns. For example, the IC design layout 122 can be expressed in a GDSII file format, a DFII file format, or another suitable computer-readable data format.
The mask house 130 uses the IC design layout 122 to manufacture one or more masks to be used for fabricating various layers of the IC 150. The mask house 130 performs mask data preparation 132, mask fabrication 134, and other suitable tasks. The mask data preparation 132 translates the IC design layout 122 into a form that can be physically written by a mask writer. The mask fabrication 134 then fabricates a plurality of masks that are used for patterning a substrate (e.g., a wafer). In the present embodiment, the mask data preparation 132 and mask fabrication 134 are illustrated as separate elements. However, the mask data preparation 132 and mask fabrication 134 can be collectively referred to as mask data preparation.
In the present embodiment, the mask data preparation 132 prepares a mandrel pattern layout and a cut pattern layout to be used in a patterning process. The preparation of the mandrel pattern includes extending the device patterns (e.g., in the operation 204), connecting adjacent device patterns under certain rules (e.g., operation 206), inserting dummy patterns (e.g., dummy line patterns) outside the layout blocks 320 and 340 (
The mask data preparation 132 may further include optical proximity correction (OPC) which uses lithography enhancement techniques to compensate for image errors, such as those that can arise from diffraction, interference, or other process effects. The mask data preparation 132 may further include a mask rule checker (MRC) that checks the IC design layout with a set of mask creation rules which may contain certain geometric and connectivity restrictions to ensure sufficient margins, to account for variability in semiconductor manufacturing processes, etc. In an embodiment, portions of the device patterns 324 and 328 are connected according to the MRC in the operation 206. In another embodiment, mandrel bar patterns 380 are included according to the MRC. The mask data preparation 132 may further include lithography process checking (LPC) that simulates processing that will be implemented by the IC manufacturer 140 to fabricate the IC 150. The processing parameters may include parameters associated with various processes of the IC manufacturing cycle, parameters associated with tools used for manufacturing the IC, and/or other aspects of the manufacturing process.
It should be understood that the above description of the mask data preparation 132 has been simplified for the purposes of clarity, and data preparation may include additional features such as a logic operation (LOP) to modify the IC design layout according to manufacturing rules. Additionally, the processes applied to the IC design layout 122 during mask data preparation 132 may be executed in a variety of different orders.
After mask data preparation 132 and during mask fabrication 134, a mask or a group of masks are fabricated based on the modified IC design layout. For example, an electron-beam (e-beam) or a mechanism of multiple e-beams is used to form a pattern on a mask (photomask or reticle) based on the modified IC design layout. The mask can be formed in various technologies such as a transmissive mask or a reflective mask. In an embodiment, the mask is formed using binary technology, where a mask pattern includes opaque regions and transparent regions. A radiation beam, such as an ultraviolet (UV) beam, used to expose the image sensitive material layer (e.g., photoresist) coated on a wafer, is blocked by the opaque region and transmits through the transparent regions. In one example, a binary mask includes a transparent substrate (e.g., fused quartz) and an opaque material (e.g., chromium) coated in the opaque regions of the mask. In another example, the mask is formed using a phase shift technology. In the phase shift mask (PSM), various features in the pattern formed on the mask are configured to have proper phase difference to enhance the resolution and imaging quality. In various examples, the phase shift mask can be attenuated PSM or alternating PSM.
The IC manufacturer 140, such as a semiconductor foundry, uses the mask (or masks) fabricated by the mask house 130 to fabricate the IC 150. The IC manufacturer 140 is an IC fabrication business that can include a myriad of manufacturing facilities for the fabrication of a variety of different IC products. For example, there may be a manufacturing facility for the front end fabrication of a plurality of IC products (i.e., front-end-of-line (FEOL) fabrication), while a second manufacturing facility may provide the back end fabrication for the interconnection and packaging of the IC products (i.e., back-end-of-line (BEOL) fabrication), and a third manufacturing facility may provide other services for the foundry business. In the present embodiment, a semiconductor wafer 142 is fabricated using the mask (or masks) to form the IC 150. The semiconductor wafer 142 includes a silicon substrate or other proper substrate having material layers formed thereon. Other proper substrate materials include another suitable elementary semiconductor, such as diamond or germanium; a suitable compound semiconductor, such as silicon carbide, indium arsenide, or indium phosphide; or a suitable alloy semiconductor, such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide. The semiconductor wafer may further include various doped regions, dielectric features, and multilevel interconnects (formed at subsequent manufacturing steps). The mask may be used in a variety of processes. For example, the mask may be used in an ion implantation process to form various doped regions in the semiconductor wafer, in an etching process to form various etching regions in the semiconductor wafer, and/or other suitable processes.
In operation, the mask design system 136 is configured to manipulate the IC design layout 122 before it is transferred to a mask 139 by the mask fabrication 134. In an embodiment, the mask data preparation 132 in
The method 200 may be implemented in the various components of the IC manufacturing system 100. For example, the operations 202, 204, 206, 208, 210 and 212 may be implemented in the mask data preparation 132 of the mask house 130; the operation 214 may be implemented in the mask fabrication 134 of the mask house 130; and the operations 218, 220, and 222 may be implemented in the IC manufacturer 140. The method 200 is merely an example for illustrating various aspects of the provided subject matter. Additional operations can be provided before, during, and after the method 200, and some operations described can be replaced, eliminated, or moved around for additional embodiments of the method 200. The method 200 in
At operation 202, referring to
In the present embodiments, the IC design layout 122 includes two layout blocks 320 and 340 of different sizes separated from each other by spaces outside the layout blocks 320 and 340. Each of the layout blocks 320 and 340 is a rectangular region and includes patterns conforming to certain restricted design rules. Distances of the borders (or edges) of the layout blocks 320 and 340 from the device patterns inside are the minimum width that the mask fabricator can process. The layout blocks 320 and 340 are such designed to accommodate the later formed dummy patterns outside the layout blocks 320 and 340 to achieve required pattern density and device density. For example, the layout blocks 320 and 340 are separated to avoid uneven adjacent corners between two rectangular layout blocks of different sizes. These corners cause irregular empty spaces and requires to be filled with dummy patterns separately to improve pattern density. Among other benefits, the present disclosure resolves such issues and simplifies the dummy pattern layout. For example, the dummy patterns outside the layout blocks 320 and 340 may use uniform line width and line pitch throughout the entire IC design layout 122 while still maintain desirable pattern density (e.g., about 30% to about 50%).
In the present embodiments, the layout block 320 includes the device patterns 324 and 328 having the line width w1 and w2. The distance between device patterns 324 along Y direction is line pitch p1, and the distance between the device patterns 324 and the device patterns 328 along Y direction is p2. Distances between the device patterns 324 and 328 along the X direction is a distance D1. The layout block 340 includes the device patterns 344 and 348 having line width w3 and w4, respectively. The distances between the device patterns 344 and 348 along Y direction is line pitch p3. Distance between device patterns 348 along X direction is a distance D2. The line pitches p1, p2 and p3 are defined as the distances between adjacent edges of the line patterns in the present embodiment. They may also be defined using edge-to-edge distance or center-line-to-center-line distance in alternative embodiments. The device patterns 324, 328, 344, and 348 are oriented lengthwise along the same direction (e.g., along the X direction), the line widths w1, w2, w3, and w4 may be the same or different, the distance D1 and the distance D2, and the line pitches p1, p2, and p3 may be the same or different. In the present example, the line pitch p1 is greater than the line pitch p2, the distance D2 is greater than the distance D1, and the line width w3 is greater than the line width w4. It is noted that the device patterns in
The layout blocks 320 and 340 are separate for various reasons. For example, they may include different design macros or different types of circuit elements (e.g., logic circuits and SRAM cells). For another example, they may be separated to avoid the corners formed between two different sized layout blocks if stacked together. In addition, the layout blocks 320 and 340 may be designed to have different line widths and pitches so as to avoid accidental linking between the two blocks. Further, the layout blocks 320 and 340 are shown in rectangular regions for the purpose of simplification and they may be in other shapes or other polygons in various embodiments. The layout blocks 320 and 340 are outlined in dotted lines for illustration purposes only, and the dotted lines are not part of the pattern layout.
In some embodiments, the device patterns 324, 328, 344, and 348 may be used for creating IC features such as active regions, source and drain features, gate electrodes, metal lines or vias, and openings for bonding pads. In the present embodiment, the device patterns 324, 328, 344, and 348 define a mandrel pattern that will be used for etching a substrate to form fins for fin field effect transistors (FinFETs).
In a typical design, due to the limitations of the conventional optical lithography technology, the ends of the line patterns (e.g., the device patterns 324, 328, 344, 348, and the dummy patterns 370) may become rounded after being printed on a wafer and thereby compromising device performances. The line patterns may be extended lengthwise to pattern a substrate (e.g., a wafer), the round endings of the resulting lines are cut (or shortened, trimmed) using a cut pattern to achieve intended length for the line patterns. However, if the extended line patterns (e.g., extended device patterns 322, 326, 342, 346, and extended dummy patterns 360) are too close to each other, the line ends may connect to each other accidentally in an uncontrolled manner after transferring to the substrate due to the limitations of the conventional optical lithography technology, thereby causing processing issues in subsequent processes. For example, the uncontrolled connections between the ends of the line patterns may break off and shift to other portions of the substrate, thereby causing inadvertent patterns between lines. Therefore, it is desirable to reduce or eliminate small spaces between the ends of the line patterns to improve design density and reducing manufacturing defects. The provided subject matter addresses this issue, among others.
At operation 204, referring to
Referring to
In the embodiments depicted in
In the embodiments depicted in
In the embodiments depicted in
At operation 208, referring to
In the present embodiments, the extended dummy patterns 360 fills the empty spaces of the IC design layout 122 outside the layout block 320 and 340 and contacting the vertical edges (along Y direction) of the layout block 320 and 340. In other words, the extended dummy patterns 360 surrounds (or embeds) the layout blocks 320 and 340. In some embodiments, the horizontal edges (along X direction) of the layout blocks 320 and 340 may fall into spaces between adjacent extended dummy patterns 360 or within an extended dummy pattern 360. The extended dummy patterns 360 are disposed parallel to the device patterns 324, 328, 344, and 348 and lengthwise along X direction. The extended dummy patterns 360 have uniform line width w5 and line pitches p4. In the present embodiments, the line width w5 is less than the line widths w1, w2, w3, and w4 of the device patterns 324, 328, 344, and 348, respectively. The line pitch p4 is less than the line pitches p1, p2, and p3.
Referring to
At operation 210, referring
Referring to
Thereafter, the method 200 inserts the mandrel bar patterns 380 between the extended device patterns 322, 342, and 346 inside the layout blocks 320 and 340 and the dummy patterns 370 outside the layout blocks 320 and 340, as depicted in
Referring to
The method 200 then, referring to
The embodiments of inserting the mandrel bar patterns 380 as depicted in the
At operation 212, referring to
The mandrel pattern layout is used to pattern the substrate to form a mandrel structure. The mandrel structure is later subjected to a cutting process using a mask corresponding to the cut pattern layout, thereby removing portions of the mandrel structure (e.g., the rounding ends of the dummy mandrel lines 360m, rounding ends of device mandrel lines 322m, 326m, 342m, and 346m, the topmost and the bottommost dummy mandrel lines 360tm and 360bm, as well as the mandrel bar 380m as shown later in
Further, each of the mandrel pattern layout and the cut pattern layout may also include certain assist features, such as those features for imaging effect, processing enhancement, and/or mask identification information. In some embodiments, operation 212 outputs the mandrel pattern layout and the cut pattern layout in a computer-readable format for subsequent fabrication stage. For example, the layouts may be outputted in GDSII, DFII, CIF, OASIS, or any other suitable file format.
At operation 214, referring to
In the present embodiments, the first mask carries the mandrel pattern layout including the first line patterns 322, 326, 342, and 346 in the layout blocks 320 and 340 along X direction; the second line patterns 360 outside the layout block 320 and 340 along X direction; and the mandrel bars 380 disposed between and connecting the first line patterns 322, 326, 342, and 346 and the second line patterns 360 along Y direction perpendicular to X direction. The second mask 392 includes a first column along Y direction dividing the first line patterns 322, 326, 342, and 346 into device patterns 324, 328, 344, and 348, respectively; a second column along Y direction overlapping with the mandrel bar patterns 380m to cut the later formed mandrel bars; and a third column along Y direction overlapping with portions of the second line patterns 360 to trim possible round endings in later formed fin structure.
At operation 216, referring to
Prior to the pattering of the substrate 420, referring to
Referring to
The mandrel structure 460 is formed by patterning the dielectric layer 440 with a procedure including a lithography process and an etching process. For example, a photoresist (or resist) layer (not shown) is formed on the dielectric layer 440 using a spin-coating process and soft baking process. Then, the photoresist layer is exposed to a radiation using the first mask manufactured in the operation 214. The exposed photoresist layer is developed using post-exposure baking, developing, and hard baking thereby forming a patterned photoresist layer over the dielectric layer 440. Subsequently, the dielectric layer 440 is etched through the openings of the patterned photoresist layer, forming the mandrel structure 460. The etching process may include a dry (or plasma) etching, a wet etching, or other suitable etching methods. The patterned photoresist layer is removed thereafter using a suitable process, such as wet stripping or plasma ashing. During the above photolithography process, the density and uniformity of the mandrel structure 460, including device mandrel lines 322m, 326m, 342m, 346m, dummy mandrel lines 360m, and mandrel bars 380m help improve pattern critical dimension uniformity in view of optical proximity effect.
At operation 218, referring
At operation 220, referring to
At operation 222, referring to
The operation 222 may also form gate stacks 660 over the device fins (e.g., 324f, 328f, 344f, and 348f) and dummy fins (e.g., 370f) using a gate-first process or a gate-last process. Thereafter, the operation 222 may form source and drain regions (not shown) in the fins using ion implantation, epitaxial growth, and/or other suitable methods. Other processes include forming source and drain contacts, forming gate contacts, and forming via and metal interconnects, and so on.
Although not intended to be limiting, the present disclosure provides many benefits to the manufacturing of an IC. For example, the present disclosure includes a method of avoiding space violations between line patterns in an IC pattern layout, especially space violations between corners of adjacent line patterns with different line widths (corner to corner violation). In some embodiments, the device patterns are positioned inside the layout blocks, and dummy patterns having different line width than the device patterns are inserted outside the layout blocks. The IC pattern layout further includes mandrel bar patterns connecting the device patterns and the dummy patterns to avoid corner to corner violation, where the mandrel bar patterns are disposed lengthwise in a direction perpendicular with the lengthwise direction of the device pattern. In some embodiments, the device patterns disposed within a user defined minimum distance are connected together into one pattern line. In some embodiments, dummy devices are formed over the dummy fins to improve fin uniformity, fin critical dimension, and CMP loading effect during various stages of fin etching processes.
In one aspect, the present disclosure provides a method that includes receiving an integrated circuit (IC) design layout including a layout block, where the layout block includes first line patterns disposed along a first direction, extending lengths of the first line patterns, connecting portions of the first line patterns disposed within a distance less than a preset value, forming second line patterns disposed outside the layout block parallel to the first line patterns, forming mandrel bar patterns overlapping edges of the layout block, where the mandrel bar patterns orients along a second direction perpendicular to the first direction, and outputting a pattern layout for mask fabricating, where the pattern layout includes the layout block, the first and second line patterns, and the mandrel bar patterns.
In another aspect, the present disclosure provides a method that includes receiving an integrated circuit (IC) design layout including a layout block, where the layout block includes first line patterns oriented lengthwise in a first direction. The method then extends lengths of the first line patterns along the first direction, adds second line patterns oriented lengthwise in the first direction surrounding the layout block, adds mandrel bar patterns along boarders of the layout block, where the adding of the mandrel bar patterns includes calculating positions of dummy pattern positions within the second line patterns, forming the mandrel bar patterns using the boarders of the layout block as center lines, where the mandrel bar patterns orients lengthwise in a second direction perpendicular to the first direction, and where the mandrel bar patterns contacts the dummy patterns. The method further includes extending first line patterns to contact the mandrel bar patterns and outputting a pattern layout in a computer-readable format, where the pattern layout includes the layout block, the first and second line patterns, and the mandrel bar patterns. Thereafter, the method fabricates a mask using the pattern layout.
In yet another aspect, the present disclosure provides a method of forming a semiconductor structure that includes providing a semiconductor substrate, forming a mandrel structure over the semiconductor substrate using a first mask, where the first mask includes first line patterns in a layout block along a first direction, second line patterns outside the layout block along the first direction, and mandrel bars disposed between the first line patterns and the second line patterns along a second direction perpendicular to the first direction. The method further includes performing a cutting process using a second mask over the mandrel structure to form a fin structure, where the second mask includes a first column along the second direction dividing the first line patterns into device patterns, a second column along the second direction overlapping with the mandrel bars, and a third column along the second direction overlapping with portions of the second line patterns. Thereafter, the method forms gates over the fin structure along the second direction.
The foregoing outlines features of several embodiments so that those having ordinary skill in the art may better understand the aspects of the present disclosure. Those having ordinary skill in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those having ordinary skill in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
This is a continuation application of U.S. application Ser. No. 17/406,781, filed Aug. 19, 2021, which is herein incorporated by reference in its entirety.
Number | Date | Country | |
---|---|---|---|
Parent | 17406781 | Aug 2021 | US |
Child | 18668389 | US |