Method for fabricating a transistor device with a tuned dopant profile

Information

  • Patent Grant
  • 9299801
  • Patent Number
    9,299,801
  • Date Filed
    Thursday, March 14, 2013
    11 years ago
  • Date Issued
    Tuesday, March 29, 2016
    8 years ago
Abstract
A transistor device with a tuned dopant profile is fabricated by implanting one or more dopant migrating mitigating material such as carbon. The process conditions for the carbon implant are selected to achieve a desired peak location and height of the dopant profile for each dopant implant, such as boron. Different transistor devices with similar boron implants may be fabricated with different peak locations and heights for their respective dopant profiles by tailoring the carbon implant energy to effect tuned dopant profiles for the boron.
Description
TECHNICAL FIELD

The present disclosure relates in general to semiconductor fabrication processes and more particularly to a method for fabricating a transistor device with a tuned dopant profile.


BACKGROUND

Cost effective semiconductor electronic manufacturing requires transistor structures and manufacturing processes that are reliable at nanometer scales and that do not require expensive or unavailable tools or process control conditions for the design or manufacture. While it is difficult to balance the many variables that control transistor electrical performance, finding suitable transistor dopant structures with an associated manufacturing technique that results in acceptable electrical characteristics such as charge carrier mobility, threshold voltage levels, and junction leakage are a key aspect of such commercially useful transistors.





BRIEF DESCRIPTION OF THE DRAWINGS

For a more complete understanding of the present disclosure, reference is now made to the following description taken in conjunction with the accompanying drawings, wherein like reference numerals represent like parts, in which:



FIGS. 1A-1C illustrate how a carbon implant may be used to adjust a dopant profile of a boron implant;



FIGS. 2A-2B illustrate examples of how a position and height of a peak of the dopant profile can be adjusted through a change in the carbon implant;



FIGS. 3A-3F illustrate changes in a transistor structure during a fabrication process to produce the dopant profiles of FIGS. 1A-1C and 2A-2B;



FIG. 4 illustrates an example of a transistor structure without a screening layer, with carbon added to the channel.





DETAILED DESCRIPTION

A Deeply Depleted Channel (DDC) transistor can use, among other things, dopants in preselected concentrations and located specific areas a distance below the top surface of the substrate to define a depletion zone and establish a threshold voltage during transistor operation. This can result in a transistor having improved electrical parameters including threshold voltage variation among transistors and reduced power requirements. One quality goal of a DDC transistor is to form the doped regions in such a manner as to inhibit the migration of the dopants outside of the desired locations.


A DDC transistor typically includes both a highly doped region formed in a semiconductor substrate below a gate, called the screening layer, that functions to define the extent of the depletion region below the gate in operation, and an undoped epitaxially grown channel on the highly doped region extending between a source and a drain of the transistor. In addition, a threshold voltage set layer may be positioned between the undoped channel and the screening layer and may alternatively contact or be separated from the screening layer and/or the undoped channel. This threshold voltage set layer is used to finely adjust or tune the threshold voltage of individual or blocks of transistors. The screening layer and/or the threshold voltage set layer can be implanted into the semiconductor substrate, separately grown as a blanket or as selective epitaxial layers on the semiconductor substrate and doped by controlled implants, or by substitutional doped deposition. The threshold voltage set layer may also be formed by performing a controlled diffusion from the screening layer. An anti-punchthrough layer may also underlie the screening layer and may be formed by implantation into the semiconductor substrate or by diffusion from the screening layer. As compared to conventional doped channel transistors, such DDC transistors can be more closely matched in device characteristics, in part because they have reduced channel random dopant fluctuations that can result in variable threshold voltage set points. Other embodiments of DDC transistors may include a slightly doped channel, whether by way of channel dopants distributed throughout or halo implants or other forms of channel doping. Further examples of a DDC transistor structure and manufacture are disclosed in U.S. Pat. No. 8,273,617 titled ELECTRONIC DEVICES AND SYSTEMS, AND METHODS FOR MAKING AND USING THE SAME by Scott E. Thompson et al., as well as U.S. patent application Ser. No. 12/971,884, filed on Dec. 17, 2010 titled LOW POWER SEMICONDUCTOR TRANSISTOR STRUCTURE AND METHOD OF FABRICATION THEREOF and U.S. patent application Ser. No. 12/971,955 filed on Dec. 17, 2010 titled TRANSISTOR WITH THRESHOLD VOLTAGE SET NOTCH AND METHOD OF FABRICATION THEREOF, the respective contents of which are incorporated by reference herein.


Thermal cycles in the fabrication process can lead to diffusion of the screening and threshold voltage set layer materials particularly when the materials used tend to be mobile. It is desirable to prevent screening layer and threshold voltage set layer materials from unwanted diffusion into the undoped channel layer. A method of reducing dopant migration is to introduce a dopant migration resistant material, such as carbon, to further limit unwanted dopant migration.


As an example, boron is preferably used for NMOS transistor fabrication. For a DDC NMOS transistor, a boron implant may be used for a screening layer and for the optional threshold voltage set layer. Implanted boron is widely known to diffuse during device fabrication, especially in thermal cycles of 900° C. or more. To avoid unwanted diffusion of boron, carbon may be added to the crystalline lattice. It is thought that carbon takes substitutional positions in the crystalline structure to limit available pathways for the movement of boron. There may be a pre-amorphization implant using germanium followed by a recrystallization anneal to enhance the effectiveness of the added carbon. The use of carbon addition to inhibit migration of dopants works effectively in a silicon crystalline substrate, and can be effective in other semiconducting substrates as well. Another benefit to using carbon implant is in its ability to tune the dopant profile of the boron.



FIGS. 1A-1C show by way of example how a carbon implant may be used to adjust a dopant profile of a boron used for a screening layer or a threshold voltage set layer of the DDC transistor. Throughout FIGS. 1A-1C, the dose of the boron implant is constant at 3.5×1013 atoms/cm2 to achieve a peak concentration as shown on the charts. In each of FIGS. 1A-1C, two dopant profiles are shown, one at a BF2 implant energy of 5 keV and one at an implant energy of 20 keV. Though results are shown for BF2, the effects shown herein apply to a boron implant as well. FIG. 1A shows the effect of a carbon implant at an implant energy of 3 keV and an implant dose of 5×1014 atoms/cm2 on the boron dopant profile. FIG. 1B shows the effect of a carbon implant at an implant energy of 1 keV and an implant dose of 5×1014 atoms/cm2 on the boron dopant profile. FIG. 1C shows the effect of a carbon implant at an implant energy of 3 keV and an implant dose of 8×1014 atoms/cm2 on the boron dopant profile.


As shown in FIGS. 1A-1C, the amount of implant energy for the carbon implant has a visible effect on the resultant boron dopant profile. For instance, as seen in FIG. 1B, a lower carbon implant energy, for instance, 1 keV, produces a sharper and higher peak in the boron dopant profile than for a carbon implant energy of 3 keV, where, as seen in FIGS. 1A and 1C, the boron dopant profile is more spread out. Moreover, boron dopant profile peak height and location may also be changed even when the carbon implant remains constant and the BF2 implant energy is adjusted. Another characteristic illustrated by FIGS. 1A-1C is that a tail of the dopant profile is affected by an amount of implant energy for the BF2 implant. Degradation of the dopant profile tail at lower implant energies for the BF2 implant may lead to higher drain induced barrier lowering (DIEL), greater short channel effects, and less threshold voltage response.



FIGS. 2A-2B show examples of how a position and height of a peak of the boron dopant, profile can be adjusted through a change in the carbon implant. The example of FIG. 2A uses BF2 as the dopant material implanted at an energy of 5 keV and a dopant implant dose of 3.5×1013 atoms/cm2. The peak of the boron dopant profile is sharper and higher and occurs at a shallower depth for a carbon implant energy of 1 keV as compared to keV. As a result, fine tuning of the boron dopant profile is achieved through variations in carbon implant parameters, with the carbon energy being tied to the sharpness of the peak for the boron profile. A lower carbon energy is used to attain a sharper boron peak. A lower carbon energy also causes the peak of the boron to be shallower and higher in concentration than for a higher carbon energy. Changes in carbon implant dose has a negligible effect on the boron dopant profile in this example, with very slight increases in peak height and sharpness of the dopant profile occurring at increased doses of the carbon implant. As a result, the boron dopant profile is more affected by carbon implant energy than carbon dopant concentration. However, increased carbon dopant concentration may protect the screening layer and the threshold voltage set layer from encroachment of subsequently formed lightly doped drain or source/drain extension regions.


The example of FIG. 2B uses BF2 as the dopant material implanted at an energy of 20 keV and a dopant implant dose of 3.5×1013 atoms/cm2. Similar changes in location and height of the peak of the dopant profile are achieved relative to carbon implant energy as similarly shown in FIG. 2A. Note that, as indicated in FIG. 2A-2B, a dual implant of carbon can be used to attain a dual peak profile for the boron. A lower-energy carbon, for instance, at an energy of about 1 keV, can be combined with a higher energy carbon, for instance, at an energy of about 3 keV, to produce a dual peak profile for the boron of a first, sharper, shallower peak and a second, wider, deeper peak. Finer dopant profile tuning can be made with modifications to the carbon dose.



FIGS. 3A-3F show an example of the changes that may take place in a transistor channel structure 300 during a fabrication process to produce the dopant profiles of FIGS. 1A-1C and 2A-2B. The process begins in FIG. 3A with a mask 302 formed on a semiconductor substrate 304 (preferably bulk silicon) to define an opening constituting an implant region 306. In one embodiment for an NMOS transistor device, a p-type material (for discussion purposes Boron or BF2) is implanted in the opening 306, at approximately 100-250 keV at doses in the range of 1×1013 to 5×1013 atoms/cm2, to form a well 308.


In FIG. 3B, an optional anti-punchthrough layer 310 comprising a p-type material may be implanted into opening 306. An example implant condition for anti-punchthrough layer 310 may include an implant energy of 30 to 50 keV and a dopant concentration of about 0.8 to 3.0×1013 atoms/cm2.


In FIG. 3C, dopant migration resistant material such as carbon is implanted into opening 306. An example process condition may include a germanium implant at an implant energy of 30 to 50 keV and a dopant implant dose of 4 to 6×1014 atoms/cm2. Multiple carbon implants may be performed for further dopant profile tuning as desired. For instance, each carbon implant can correspond respectively to one or more of anti-punchthrough layer 310 and a subsequently formed screening layer and a subsequently formed threshold voltage set layer. The process conditions for the carbon implants may include those discussed above, with energy selections tailored to tune the dopant profile of the anti-punchthrough layer 310, the screening layer, the threshold voltage set layer and any other desired profiles. The carbon implant may be performed once for all dopant profile tunings, or may be performed in steps specifically matched to steps for one or more of the dopant regions. Notably, the dopant profile shape for one or more screening layers and if present, the threshold voltage set layer are designed for the transistor to meet its parametric requirements. Therefore, implementing a process that matches an appropriate carbon implant energy to targeted dopant profile shapes is beneficial for achieving structural and device metric goals for the transistor. Example carbon implant conditions may include an implant energy of 0.8 to 8 keV and a dopant implant dose of 3×1013 to 8×1014 atoms/cm2. A particularly shallow carbon implant may serve an additional benefit to contain the profile of the to-be-formed source/drain extensions (shown as 322, 324 in FIG. 3F). A deeper carbon implant may be used to control the shape of a more deeply implanted dopant, for example anti-punchthrough layer 310. The carbon implant conditions are selected to place a peak of the dopant profile of the anti-punchthrough layer 310, the screening layer, and the threshold voltage set layer at a desired location and height.


After the germanium and carbon implants, transistor structure 300 is usually subjected to one or more annealing processes. Example annealing processes include baking transistor structure 300 at a temperature of 600 to 700° C. for 80 to 200 seconds. A spike anneal may also be performed at an example temperature of 900 to 1100° C. for a duration of less than 1 second. The anneal processes are selected and performed to recrystallize the semiconductor substrate while suppressing diffusion of implanted dopants.


In FIG. 3D, a screening layer 312 and a threshold voltage set layer 314 are implanted into implant region 306. In an alternative process, anti-punchthrough layer 310 may also be implanted at this time instead of prior to the germanium implant as stated above. Example implant conditions include those discussed above where screening layer 312 may be implanted with a p-type material at an implant energy of 10 to 30 keV and a dopant implant dose of 2 to 5×1013 atoms/cm2. Threshold voltage set layer 314 may be implanted with a p-type material at an implant energy of 3 to 20 keV and a dopant implant dose of 1 to 4×1013 atoms/cm2. In alternative embodiments, screening layer 312 may have a higher dopant concentration, approximately the same, or lower dopant concentration than that of threshold voltage set layer 314 so that the screening layer 312 may be below or above the threshold voltage set layer 314. The desired peak sharpness of the screening layer 312 and threshold voltage set layer 314 are modulated by setting the carbon implant energy that matches the desired outcome, that is a lower carbon implant energy resulting in sharper, higher peaks with a more narrow profile. Typically, the dopant concentration of the anti-punchthrough layer 310 is lower than both the screening layer 312 and threshold voltage set layer 314. Implantation of screening layer 312 and threshold voltage set layer 314 may be performed in separate implant steps or as a single continuous step through dynamic adjustment of the process conditions.


In FIG. 3E, following screening layer 312 and threshold voltage set layer 314 implantation, transistor structure 300 may be subject to one or more anneal processes as discussed above in order to further prevent diffusion of the dopants in these layers. Mask 302 is removed and a blanket undoped channel layer 316 may then be epitaxially grown across a plurality of transistor structures 300 on a single die (not shown).


In FIG. 3F, a gate dielectric 322, a gate region 324, and sidewall spacers 326 may be formed over undoped channel 316. Note that although a planar CMOS structure is depicted in the FIGURE, alternative transistor structures above the channel 316 may be formed. An n-type material may be implanted to form a source extension 322 and drain extension 324 as well as a source region 318 and a drain region 320.


The above embodiments for using a diffusion-mitigating material in the context of DDC transistors is applicable for both NMOS and PMOS transistors, though typically, such methods may be used more commonly for NMOS and less commonly for PMOS. For PMOS, other materials which in themselves tend to be less diffusive may be used. For instance, antimony may be used for the highly doped regions of the PMOS transistor, thus making potentially unnecessary the use of further diffusion-mitigation measures. For PMOS, energy and dose levels for ion implantation of the dopant material (for instance, antimony) are selected to effect a targeted placement of the profile peak as well as thickness and concentration of the doped region, all of which can affect resultant electrical parameters for the transistor including threshold voltage, junction leakage, drive current, drain-induced barrier lowering, and other parameters. However, an alternative embodiment may be to deposit a blanket epitaxial carbon-infused silicon on the substrate surface in advance of or after the doping of the highly doped regions (for instance, the screen layer and the threshold voltage setting layer). Such a blanket epitaxial carbon-infused silicon may serve as an overall dopant migration inhibitor for all devices. Implanted dopant migration mitigation material (for instance, carbon) may be used to augment in-situ epitaxially grown material as needed. Adjacent transistor structures 300 may be separated by isolation regions 326, with the isolation regions being preferably formed after the epitaxial layer is formed. Further conventional process steps can then be performed to complete the fabrication to form an integrated circuit.


As a further note, as shown in FIG. 4, preselected carbon implants may be performed in the absence of a screening layer or threshold voltage tuning layer (not shown). There is provided a transistor 400 which contains, in this example, a gate 402 and spacer structures 404 on either side of gate 402 overlying a gate dielectric 406 which may be a thermally grown oxide or other suitable material. There may be source and drain extension structures 410 and 412 extending below and near the edges of gate 402 with spacers 404. There may be deeper source and drain structures 420 and 422, doped to a level sufficient to provide a suitable contact to metallization which may be formed thereabove (not shown).


There may not be an undoped epitaxially grown channel as preferably used for DDC transistor structures. Carbon addition 430 may be made by ion implantation directly into substrate 428 which may be previously doped with wells (not shown) or the wells may be formed after the carbon implants. Instead of implanted carbon, carbon addition 430 may be epitaxially introduced by way of an epitaxial material growth over substrate 428 that includes carbon, resulting in carbon addition 430 appearing in the channel. A benefit of using carbon-added epitaxially grown silicon is the avoidance of using germanium pre-amorphization implants. If instead, carbon is put into the substrate by way of ion implantation, then germanium pre-amorphization may be typically followed by recrystallization anneal to secure the carbon into the substitutional lattice locations. Germanium pre-amorphization implant may, however, be avoided with the selection of an appropriate ion implantation process for the carbon, for instance through use of low-temperature ion implantation methods.


Preselected carbon implants (the process shall be referred to herein as “implants” though in-situ epitaxially introduced carbon alone or in combination with ion implantation of carbon may be used) may be used in the context of a DDC transistor, with conventional channel doping implementations or halo doping implementations, or both. The carbon is introduced into substrate 428 so that the carbon concentration and, as applicable, the profile may be matched to those areas of the source 420, drain 422, source extension 410, and drain extension 412 tending to produce unwanted out-diffusion. Typically, carbon may be added to substrate 428 uniformly in the deep source 420/drain 422 area with the concentration being modulated at the source extension 410/drain extension 412 area to fine-tune the electrical parameters of the transistor device which may be affected by tolerance for more or less out-diffusion of material from the doped areas 410, 412, 420 and 422.


Preselected carbon implants may be used with the isolation structures formed first or right after placement of carbon addition 430, or sometime later in the fabrication process sequence. Preferably, the isolation structures are formed after the carbon implants.


Energy for implanting the carbon implants may range from 0.5 to 10 keV for a dose of 8×1013 to 2×1015 atoms/cm2 or other dose to result in a sufficient concentration of carbon to effect a stop for potential diffusion of the later formed source 420, drain 422, source extension 410, and drain extension 412. Concentration of the carbon in the semiconductor lattice may be, for instance, 1×1018 atoms/cm3 to 8×1020 atoms/cm3 where the concentrations may be more precisely located to be in the vicinity of the source extension 410/drain extension 412 or source 420/drain 422. The carbon concentration and location of concentration profile peaks can be tuned with the selection of energies and dose, as can be seen in the examples of FIGS. 1A TO 1C, and FIGS. 2A TO 2B. If a dual carbon profile is desired, the profile can be achieved using a combination of ion implant steps or using a combination of in-situ carbon epitaxial growth at a designated carbon concentration with separately performed ion implantation.


A benefit of using carbon implants in the channel is multi-fold. In the case of DDC transistors, carbon helps to mitigate against unwanted diffusion doped regions to effect a desired and specific dopant profile. In the case of conventional transistors, there is usually a greater problem of out-diffusion from the source and drain structures due to high temperature anneals that take place later in the process sequence. The high temperature anneals may cause excessive out-diffusion of material, for instance boron or phosphorous, from the source and drain structures. By introducing carbon into the channel prior to the initiation of the anneals that may cause excessive out-diffusion of the source and drain into the channel region, the otherwise occurring out-diffusion of material from the source and drain structures can be mitigated. Though the carbon implants may be formed at any time prior to the problematic anneals, the carbon implants are preferably formed together with, before, or right after the formation of the wells.


Note that although a well-known structure for a MOSFET is shown in FIG. 4, the embodiment of placing carbon into the channel is useful for a variety of MOSFET structures including structures having shallower junction, raised source and drain, source and/or drain structures created with selective epitaxial growth, having or not having source/drain extensions, or other variations thereof. Placing carbon in the channel is useful for either NMOS or PMOS, and carbon placement to tune dopant profile of adjacent doped structures can be used in non-MOSFET contexts as well, for instance in bipolar or other structures that involve doped regions that should remain in place. Carbon in the channel is useful for numerous types of DDC structures as described above in relation to FIGS. 1A to 1C, 2A to 2B, and 3A to 3F, as well as the previous cases incorporated by reference herein. Carbon in the channel may also be used in three-dimensional transistor structures, for instance finFET devices, where it is desired to block the out-diffusion of source/drain dopant material into a non-doped, lesser doped, or oppositely doped area.


Different process conditions for doping may be implemented across a plurality of transistors in a die. Through selective use of doping conditions across a plurality of transistors, transistor structures may be fabricated with variations in location and height of the peak of the dopant profile so as to effect differing electrical transistor behaviors. Doping conditions within the channel may directly affect certain electrical properties such as threshold voltage. Doping profile of other parts of the transistor, for instance the source and drain, should also be controlled, though out-diffusion that results in a modification of the shape of the source and drain can create problems with transistors not meeting parametric targets. The use of carbon or other dopant migration mitigation materials is effective to hold a dopant material that would otherwise travel through a semiconductor lattice in place to effect a dopant desired profile. More specifically, the use of preselected carbon process conditions to effect a placement of carbon into the substrate has beneficial effects on keeping the target shape for the doped areas. If ion implantation is used for introducing the carbon, the modulation of energy of the implant results in a certain shape of a dopant profile peak. To insert carbon into the substrate, ion implantation, in-situ epitaxial growth, or other methods or combinations thereof may be used to effect a placement of a concentration of carbon species in desired locations.


Although the present disclosure has been described in detail with reference to a particular embodiment, it should be understood that various other changes, substitutions, and alterations may be made hereto without departing from the spirit and scope of the appended claims. For example, although the present disclosure includes a description with reference to a specific ordering of processes, other process sequencing may be followed to achieve the end result discussed herein. Though discussed using specific examples, different materials and process conditions may be used at each point of the fabrication process to create a desired transistor structure. As but one example, the carbon implants may be intermixed with the various boron implants.


Numerous other changes, substitutions, variations, alterations, and modifications may be ascertained by those skilled in the art and it is intended that the present disclosure encompass all such changes, substitutions, variations, alterations, and modifications as falling within the spirit and scope of the appended claims. Moreover, the present disclosure is not intended to be limited in any way by any statement in the specification that is not otherwise reflected in the appended claims.

Claims
  • 1. A method for fabricating a transistor device having a gate, a channel, a source and a drain on either side of the channel, the channel having a tuned dopant profile, comprising: defining an implant region;performing a first implantation of a first dopant migration mitigating material into the implant region at a first preselected dopant migration mitigating energy and dose;implanting a screening layer into the implant region at a preselected screening layer energy and screening layer dose, the screening layer defining a depletion width for the transistor channel when a voltage is applied to the gate;implanting a threshold voltage set layer into the implant region at a preselected threshold voltage set layer energy and threshold voltage set layer dose;wherein the first preselected dopant migration mitigating energy effects the placement of a peak of a dopant profile of the screening layer at a first location and a first thickness;wherein the preselected threshold voltage set layer energy and threshold voltage set layer dose effects the placement of the peak of a dopant profile of the threshold voltage layer to be different from the first location;wherein the threshold voltage set layer is coextensive with the screening layer and abuts the source and drain and the screening layer extends laterally across the channel.
  • 2. The method of claim 1, further including the step of performing a second implantation of a second dopant mitigating material at a second preselected dopant mitigating material energy and dose.
  • 3. The method of claim 2, wherein the first implantation of a dopant migration mitigating material is implanted at a first energy and the second implantation of a dopant migration mitigating material is implanted at a second energy, the first energy being lower than the second energy.
  • 4. The method of claim 2, wherein both the first dopant migration mitigating material and second dopant migration mitigating material comprise carbon.
  • 5. The method of claim 4, wherein the first implantation is at a first energy, and the second implantation is at a second energy, the second energy being higher than the first energy.
  • 6. The method of claim 1, wherein the first and second energies for the first and second dopant migration mitigating material implantations are identical.
  • 7. The method of claim 1, wherein the first dopant migration mitigating material comprises carbon.
  • 8. The method of claim 1, further comprising: creating a narrower peak profile for the screening layer compared with the threshold voltage set layer.
  • 9. The method of claim 1, further comprising: implanting an anti-punchthrough layer into the implant region.
  • 10. The method of claim 1, further comprising: forming an undoped channel layer on the implant region.
  • 11. A method for fabricating transistor devices with tuned dopant profiles, comprising: providing a first implant region for a first transistor device;performing a first implantation of a carbon material into the first implant region;performing a second implantation of a carbon material into the first implant region;implanting a first screening layer into the first implant region;providing a second implant region for a second transistor device;implanting a second screening layer into the second implant region;wherein process conditions for implanting the first implantation of a carbon material and the second implantation of a carbon material and the first screening layer are selected to effect a location of a peak and thickness of a dopant profile of the first screening layer;wherein process conditions for implanting a second screening layer are selected to effect a location of a peak and thickness of a dopant profile of the second screening layer.
  • 12. The method of claim 11, further including the step of implanting a first threshold voltage layer into the first implant region.
  • 13. The method of claim 11, further including the step of implanting a second threshold voltage layer into the second implant region.
  • 14. The method of claim 11, further comprising: selecting a lower energy for the first implantation and a higher energy for the second implantation, resulting in a narrower peak profile for the first screening layer as a result of the selection of the lower energy for the first implantation.
US Referenced Citations (511)
Number Name Date Kind
3958266 Athanas May 1976 A
4000504 Berger Dec 1976 A
4021835 Etoh et al. May 1977 A
4242691 Kotani et al. Dec 1980 A
4276095 Beilstein, Jr. et al. Jun 1981 A
4315781 Henderson Feb 1982 A
4518926 Swanson May 1985 A
4559091 Allen et al. Dec 1985 A
4578128 Mundt et al. Mar 1986 A
4617066 Vasudev Oct 1986 A
4662061 Malhi May 1987 A
4761384 Neppl et al. Aug 1988 A
4780748 Cunningham et al. Oct 1988 A
4819043 Yazawa et al. Apr 1989 A
4885477 Bird et al. Dec 1989 A
4908681 Nishida et al. Mar 1990 A
4945254 Robbins Jul 1990 A
4956311 Liou et al. Sep 1990 A
5034337 Mosher et al. Jul 1991 A
5144378 Hikosaka Sep 1992 A
5156989 Williams et al. Oct 1992 A
5156990 Mitchell Oct 1992 A
5166765 Lee et al. Nov 1992 A
5208473 Komori et al. May 1993 A
5294821 Iwamatsu Mar 1994 A
5298763 Shen et al. Mar 1994 A
5369288 Usuki Nov 1994 A
5373186 Schubert et al. Dec 1994 A
5384476 Nishizawa et al. Jan 1995 A
5426328 Yilmaz et al. Jun 1995 A
5444008 Han et al. Aug 1995 A
5552332 Tseng et al. Sep 1996 A
5559368 Hu et al. Sep 1996 A
5608253 Liu et al. Mar 1997 A
5622880 Burr et al. Apr 1997 A
5624863 Helm et al. Apr 1997 A
5625568 Edwards et al. Apr 1997 A
5641980 Yamaguchi et al. Jun 1997 A
5663583 Matloubian et al. Sep 1997 A
5712501 Davies et al. Jan 1998 A
5719422 Burr et al. Feb 1998 A
5726488 Watanabe et al. Mar 1998 A
5726562 Mizuno Mar 1998 A
5731626 Eaglesham et al. Mar 1998 A
5736419 Naem Apr 1998 A
5753555 Hada May 1998 A
5754826 Gamal et al. May 1998 A
5756365 Kakumu May 1998 A
5763921 Okumura et al. Jun 1998 A
5780899 Hu et al. Jul 1998 A
5847419 Imai et al. Dec 1998 A
5856003 Chiu Jan 1999 A
5861334 Rho Jan 1999 A
5877049 Liu et al. Mar 1999 A
5885876 Dennen Mar 1999 A
5889315 Farrenkopf et al. Mar 1999 A
5895954 Yasumura et al. Apr 1999 A
5899714 Farremkopf et al. May 1999 A
5918129 Fulford, Jr. et al. Jun 1999 A
5923067 Voldman Jul 1999 A
5923987 Burr Jul 1999 A
5936868 Hall Aug 1999 A
5946214 Heavlin et al. Aug 1999 A
5985705 Seliskar Nov 1999 A
5989963 Luning et al. Nov 1999 A
6001695 Wu Dec 1999 A
6020227 Bulucea Feb 2000 A
6043139 Eaglesham et al. Mar 2000 A
6060345 Hause et al. May 2000 A
6060364 Maszara et al. May 2000 A
6066533 Yu May 2000 A
6072217 Burr Jun 2000 A
6087210 Sohn Jul 2000 A
6087691 Hamamoto Jul 2000 A
6088518 Hsu Jul 2000 A
6091286 Blauschild Jul 2000 A
6096611 Wu Aug 2000 A
6103562 Son et al. Aug 2000 A
6121153 Kikkawa Sep 2000 A
1515920 Gossmann et al. Nov 2000 A
6147383 Kuroda Nov 2000 A
6157073 Lehongres Dec 2000 A
6175582 Naito et al. Jan 2001 B1
6184112 Maszara et al. Feb 2001 B1
6190979 Radens et al. Feb 2001 B1
6194259 Nayak et al. Feb 2001 B1
6198157 Ishida et al. Mar 2001 B1
6218892 Soumyanath et al. Apr 2001 B1
6218895 De et al. Apr 2001 B1
6221724 Yu et al. Apr 2001 B1
6229188 Aoki et al. May 2001 B1
6232164 Tsai et al. May 2001 B1
6235597 Miles May 2001 B1
6245618 An et al. Jun 2001 B1
6268640 Park et al. Jul 2001 B1
6271070 Kotani et al. Aug 2001 B2
6271551 Schmitz et al. Aug 2001 B1
6288429 Iwata et al. Sep 2001 B1
6297132 Zhang et al. Oct 2001 B1
6300177 Sundaresan et al. Oct 2001 B1
6313489 Letavic et al. Nov 2001 B1
6319799 Ouyang et al. Nov 2001 B1
6320222 Forbes et al. Nov 2001 B1
6323525 Noguchi et al. Nov 2001 B1
6326666 Bernstein et al. Dec 2001 B1
6335233 Cho et al. Jan 2002 B1
6358806 Puchner Mar 2002 B1
6380019 Yu et al. Apr 2002 B1
6391752 Colinge et al. May 2002 B1
6426260 Hshieh Jul 2002 B1
6426279 Huster et al. Jul 2002 B1
6432754 Assaderaghi et al. Aug 2002 B1
6444550 Hao et al. Sep 2002 B1
6444551 Ku et al. Sep 2002 B1
6449749 Stine Sep 2002 B1
6461920 Shirahata Oct 2002 B1
6461928 Rodder Oct 2002 B2
6472278 Marshall et al. Oct 2002 B1
6482714 Hieda et al. Nov 2002 B1
6489224 Burr Dec 2002 B1
6492232 Tang et al. Dec 2002 B1
6500739 Wang et al. Dec 2002 B1
6503801 Rouse et al. Jan 2003 B1
6503805 Wang et al. Jan 2003 B2
6506640 Ishida et al. Jan 2003 B1
6518623 Oda et al. Feb 2003 B1
6521470 Lin et al. Feb 2003 B1
6534373 Yu Mar 2003 B1
6541328 Whang et al. Apr 2003 B2
6541829 Nishinohara et al. Apr 2003 B2
6548842 Bulucea et al. Apr 2003 B1
6551885 Yu Apr 2003 B1
6552377 Yu Apr 2003 B1
6573129 Hoke et al. Jun 2003 B2
6576535 Drobny et al. Jun 2003 B2
6600200 Lustig et al. Jul 2003 B1
6620671 Wang et al. Sep 2003 B1
6624488 Kim Sep 2003 B1
6627473 Oikawa et al. Sep 2003 B1
6630710 Augusto Oct 2003 B1
6660605 Liu Dec 2003 B1
6662350 Fried et al. Dec 2003 B2
6667200 Sohn et al. Dec 2003 B2
6670260 Yu et al. Dec 2003 B1
6693333 Yu Feb 2004 B1
6730568 Sohn May 2004 B2
6737724 Hieda et al. May 2004 B2
6743291 Ang et al. Jun 2004 B2
6743684 Liu Jun 2004 B2
6751519 Satya et al. Jun 2004 B1
6753230 Sohn et al. Jun 2004 B2
6760900 Rategh et al. Jul 2004 B2
6770944 Nishinohara et al. Aug 2004 B2
6787424 Yu Sep 2004 B1
6797553 Adkisson et al. Sep 2004 B2
6797602 Kluth et al. Sep 2004 B1
6797994 Hoke et al. Sep 2004 B1
6808004 Kamm et al. Oct 2004 B2
6808994 Wang Oct 2004 B1
6813750 Usami et al. Nov 2004 B2
6821825 Todd et al. Nov 2004 B2
6821852 Rhodes Nov 2004 B2
6822297 Nandakumar et al. Nov 2004 B2
6831292 Currie et al. Dec 2004 B2
6835639 Rotondaro et al. Dec 2004 B2
6852602 Kanzawa et al. Feb 2005 B2
6852603 Chakravarthi et al. Feb 2005 B2
6881641 Wieczorek et al. Apr 2005 B2
6881987 Sohn Apr 2005 B2
6891439 Jachne et al. May 2005 B2
6893947 Martinez et al. May 2005 B2
6900519 Cantell et al. May 2005 B2
6901564 Stine et al. May 2005 B2
6916698 Mocuta et al. Jul 2005 B2
6917237 Tschanz et al. Jul 2005 B1
6927463 Iwata et al. Aug 2005 B2
6928128 Sidiropoulos Aug 2005 B1
6930007 Bu et al. Aug 2005 B2
6930360 Yamauchi et al. Aug 2005 B2
6957163 Ando Oct 2005 B2
6963090 Passlack et al. Nov 2005 B2
6972223 Weimer et al. Dec 2005 B2
6995397 Yamashita et al. Feb 2006 B2
7002214 Boyd et al. Feb 2006 B1
7008836 Algotsson et al. Mar 2006 B2
7013359 Li Mar 2006 B1
7015546 Herr et al. Mar 2006 B2
7015741 Tschanz et al. Mar 2006 B2
7022559 Barnak et al. Apr 2006 B2
7036098 Eleyan et al. Apr 2006 B2
7038258 Liu et al. May 2006 B2
7039881 Regan May 2006 B2
7045456 Murto et al. May 2006 B2
7057216 Ouyang et al. Jun 2006 B2
7061058 Chakravarthi et al. Jun 2006 B2
7064039 Liu Jun 2006 B2
7064399 Babcock et al. Jun 2006 B2
7071103 Chan et al. Jul 2006 B2
7078325 Curello et al. Jul 2006 B2
7078776 Nishinohara et al. Jul 2006 B2
7089513 Bard et al. Aug 2006 B2
7089515 Hanafi et al. Aug 2006 B2
7091093 Noda et al. Aug 2006 B1
7105399 Dakshina-Murthy et al. Sep 2006 B1
7109099 Tan et al. Sep 2006 B2
7119381 Passlack Oct 2006 B2
7122411 Mouli Oct 2006 B2
7127687 Signore Oct 2006 B1
7132323 Haensch et al. Nov 2006 B2
7169675 Tan et al. Jan 2007 B2
7170120 Datta et al. Jan 2007 B2
7176137 Perng et al. Feb 2007 B2
7186598 Yamauchi et al. Mar 2007 B2
7189627 Wu et al. Mar 2007 B2
7199430 Babcock et al. Apr 2007 B2
7202517 Dixit et al. Apr 2007 B2
7208354 Bauer Apr 2007 B2
7211871 Cho May 2007 B2
7221021 Wu et al. May 2007 B2
7223646 Miyashita et al. May 2007 B2
7226833 White et al. Jun 2007 B2
7226843 Weber et al. Jun 2007 B2
7230680 Fujisawa et al. Jun 2007 B2
7235822 Li Jun 2007 B2
7256639 Koniaris et al. Aug 2007 B1
7259428 Inaba Aug 2007 B2
7260562 Czajkowski et al. Aug 2007 B2
7294877 Rueckes et al. Nov 2007 B2
7297994 Wieczorek et al. Nov 2007 B2
7301208 Handa et al. Nov 2007 B2
7304350 Misaki Dec 2007 B2
7307471 Gammie et al. Dec 2007 B2
7312500 Miyashita et al. Dec 2007 B2
7323754 Ema et al. Jan 2008 B2
7332439 Lindert et al. Feb 2008 B2
7339215 Chidambaram Mar 2008 B2
7348629 Chu et al. Mar 2008 B2
7354833 Liaw Apr 2008 B2
7380225 Joshi et al. May 2008 B2
7398497 Sato et al. Jul 2008 B2
7402207 Besser et al. Jul 2008 B1
7402872 Murthy et al. Jul 2008 B2
7416605 Zollner et al. Aug 2008 B2
7427788 Li et al. Sep 2008 B2
7442971 Wirbeleit et al. Oct 2008 B2
7449733 Inaba et al. Nov 2008 B2
7462908 Bol et al. Dec 2008 B2
7469164 Du-Nour Dec 2008 B2
7470593 Rouh et al. Dec 2008 B2
7485536 Jin et al. Feb 2009 B2
7487474 Ciplickas et al. Feb 2009 B2
7491988 Tolchinsky et al. Feb 2009 B2
7494861 Chu et al. Feb 2009 B2
7496862 Chang et al. Feb 2009 B2
7496867 Turner et al. Feb 2009 B2
7498637 Yamaoka et al. Mar 2009 B2
7501324 Babcock et al. Mar 2009 B2
7503020 Allen et al. Mar 2009 B2
7507999 Kusumoto et al. Mar 2009 B2
7514766 Yoshida Apr 2009 B2
7521323 Surdeanu et al. Apr 2009 B2
7524740 Liu et al. Apr 2009 B1
7531393 Doyle et al. May 2009 B2
7531836 Liu et al. May 2009 B2
7538364 Twynam May 2009 B2
7538412 Schulze et al. May 2009 B2
7553717 Chakravarthi et al. Jun 2009 B2
7562233 Sheng et al. Jul 2009 B1
7564105 Chi et al. Jul 2009 B2
7566600 Mouli Jul 2009 B2
7569456 Ko et al. Aug 2009 B2
7586322 Xu et al. Sep 2009 B1
7592241 Takao Sep 2009 B2
7595243 Bulucea et al. Sep 2009 B1
7598142 Ranade et al. Oct 2009 B2
7605041 Ema et al. Oct 2009 B2
7605060 Meunier-Beillard et al. Oct 2009 B2
7605429 Bernstein et al. Oct 2009 B2
7608496 Chu Oct 2009 B2
7615802 Elpelt et al. Nov 2009 B2
7622341 Chudzik et al. Nov 2009 B2
7638380 Pearce Dec 2009 B2
7642140 Bae et al. Jan 2010 B2
7644377 Saxe et al. Jan 2010 B1
7645665 Kubo et al. Jan 2010 B2
7651920 Siprak Jan 2010 B2
7655523 Babcock et al. Feb 2010 B2
7673273 Madurawe et al. Mar 2010 B2
7675126 Cho Mar 2010 B2
7675317 Perisetty Mar 2010 B2
7678631 Murthy et al. Mar 2010 B2
7678638 Chu et al. Mar 2010 B2
7681628 Joshi et al. Mar 2010 B2
7682887 Dokumaci et al. Mar 2010 B2
7683442 Burr et al. Mar 2010 B1
7696000 Liu et al. Apr 2010 B2
7704822 Jeong Apr 2010 B2
7704844 Zhu et al. Apr 2010 B2
7709828 Braithwaite et al. May 2010 B2
7723750 Zhu et al. May 2010 B2
7737472 Kondo et al. Jun 2010 B2
7741138 Cho Jun 2010 B2
7741200 Cho et al. Jun 2010 B2
7745270 Shah et al. Jun 2010 B2
7750374 Capasso et al. Jul 2010 B2
7750381 Hokazono et al. Jul 2010 B2
7750405 Nowak Jul 2010 B2
7750682 Bernstein et al. Jul 2010 B2
7755144 Li et al. Jul 2010 B2
7755146 Helm et al. Jul 2010 B2
7759206 Luo et al. Jul 2010 B2
7759714 Itoh et al. Jul 2010 B2
7761820 Berger et al. Jul 2010 B2
7795677 Bangsaruntip et al. Sep 2010 B2
7808045 Kawahara et al. Oct 2010 B2
7808410 Kim et al. Oct 2010 B2
7811873 Mochizuki Oct 2010 B2
7811881 Cheng et al. Oct 2010 B2
7818702 Mandelman et al. Oct 2010 B2
7821066 Lebby et al. Oct 2010 B2
7829402 Matocha et al. Nov 2010 B2
7831873 Trimberger et al. Nov 2010 B1
7846822 Seebauer et al. Dec 2010 B2
7855118 Hoentschel et al. Dec 2010 B2
7859013 Chen et al. Dec 2010 B2
7863163 Bauer Jan 2011 B2
7867835 Lee et al. Jan 2011 B2
7883977 Babcock et al. Feb 2011 B2
7888205 Herner et al. Feb 2011 B2
7888747 Hokazono Feb 2011 B2
7895546 Lahner et al. Feb 2011 B2
7897495 Ye et al. Mar 2011 B2
7906413 Cardone et al. Mar 2011 B2
7906813 Kato Mar 2011 B2
7910419 Fenouillet-Beranger et al. Mar 2011 B2
7919791 Flynn et al. Apr 2011 B2
7926018 Moroz et al. Apr 2011 B2
7935984 Nakano May 2011 B2
7941776 Majumder et al. May 2011 B2
7945800 Gomm et al. May 2011 B2
7948008 Liu et al. May 2011 B2
7952147 Ueno et al. May 2011 B2
7960232 King et al. Jun 2011 B2
7960238 Kohli et al. Jun 2011 B2
7968400 Cai Jun 2011 B2
7968411 Williford Jun 2011 B2
7968440 Seebauer Jun 2011 B2
7968459 Bedell et al. Jun 2011 B2
7989900 Haensch et al. Aug 2011 B2
7994573 Pan Aug 2011 B2
8004024 Furukawa et al. Aug 2011 B2
8012827 Yu et al. Sep 2011 B2
8029620 Kim et al. Oct 2011 B2
8039332 Bernard et al. Oct 2011 B2
8046598 Lee Oct 2011 B2
8048791 Hargrove et al. Nov 2011 B2
8048810 Tsai et al. Nov 2011 B2
8051340 Cranford, Jr. et al. Nov 2011 B2
8053340 Colombeau et al. Nov 2011 B2
8063466 Kurita Nov 2011 B2
8067279 Sadra et al. Nov 2011 B2
8067280 Wang et al. Nov 2011 B2
8067302 Li Nov 2011 B2
8076719 Zeng et al. Dec 2011 B2
8097529 Krull et al. Jan 2012 B2
8103983 Agarwal et al. Jan 2012 B2
8105891 Yeh et al. Jan 2012 B2
8106424 Schruefer Jan 2012 B2
8106481 Rao Jan 2012 B2
8110487 Griebenow et al. Feb 2012 B2
8114761 Mandrekar et al. Feb 2012 B2
8119482 Bhalla et al. Feb 2012 B2
8120069 Hynecek Feb 2012 B2
8129246 Babcock et al. Mar 2012 B2
8129797 Chen et al. Mar 2012 B2
8134159 Hokazono Mar 2012 B2
8143120 Kerr et al. Mar 2012 B2
8143124 Challa et al. Mar 2012 B2
8143678 Kim et al. Mar 2012 B2
8148774 Mori et al. Apr 2012 B2
8163619 Yang et al. Apr 2012 B2
8169002 Chang et al. May 2012 B2
8170857 Joshi et al. May 2012 B2
8173499 Chung et al. May 2012 B2
8173502 Yan et al. May 2012 B2
8176461 Trimberger May 2012 B1
8178430 Kim et al. May 2012 B2
8179530 Levy et al. May 2012 B2
8183096 Wirbeleit May 2012 B2
8183107 Mathur et al. May 2012 B2
8185865 Gupta et al. May 2012 B2
8187959 Pawlak et al. May 2012 B2
8188542 Yoo et al. May 2012 B2
8196545 Kurosawa Jun 2012 B2
8201122 Dewey, III et al. Jun 2012 B2
8214190 Joshi et al. Jul 2012 B2
8217423 Liu et al. Jul 2012 B2
8225255 Ouyang et al. Jul 2012 B2
8227307 Chen et al. Jul 2012 B2
8236661 Dennard et al. Aug 2012 B2
8239803 Kobayashi Aug 2012 B2
8247300 Babcock et al. Aug 2012 B2
8255843 Chen et al. Aug 2012 B2
8258026 Bulucea Sep 2012 B2
8266567 El Yahyaoui et al. Sep 2012 B2
8273617 Thompson et al. Sep 2012 B2
8286180 Foo Oct 2012 B2
8288798 Passlack Oct 2012 B2
8299562 Li et al. Oct 2012 B2
8324059 Guo et al. Dec 2012 B2
20010014495 Yu Aug 2001 A1
20020042184 Nandakumar et al. Apr 2002 A1
20030006415 Yokogawa et al. Jan 2003 A1
20030047763 Hieda et al. Mar 2003 A1
20030122203 Nishinohara et al. Jul 2003 A1
20030173626 Burr Sep 2003 A1
20030183856 Wieczorek et al. Oct 2003 A1
20030215992 Sohn et al. Nov 2003 A1
20040075118 Heinemann et al. Apr 2004 A1
20040075143 Bae et al. Apr 2004 A1
20040084731 Matsuda et al. May 2004 A1
20040087090 Grudowski et al. May 2004 A1
20040126947 Sohn Jul 2004 A1
20040175893 Vatus et al. Sep 2004 A1
20040180488 Lee Sep 2004 A1
20050106824 Alberto et al. May 2005 A1
20050116282 Pattanayak et al. Jun 2005 A1
20050250289 Babcock et al. Nov 2005 A1
20050280075 Ema et al. Dec 2005 A1
20060022270 Boyd et al. Feb 2006 A1
20060024876 Chidambaram et al. Feb 2006 A1
20060049464 Rao Mar 2006 A1
20060068555 Zhu et al. Mar 2006 A1
20060068586 Pain Mar 2006 A1
20060071278 Takao Apr 2006 A1
20060154428 Dokumaci Jul 2006 A1
20060197158 Babcock et al. Sep 2006 A1
20060203581 Joshi et al. Sep 2006 A1
20060220114 Miyashita et al. Oct 2006 A1
20060223248 Venugopal et al. Oct 2006 A1
20070040222 Van Camp et al. Feb 2007 A1
20070117326 Tan et al. May 2007 A1
20070158790 Rao Jul 2007 A1
20070212861 Chidambarrao et al. Sep 2007 A1
20070238253 Tucker Oct 2007 A1
20080067589 Ito et al. Mar 2008 A1
20080108208 Arevalo et al. May 2008 A1
20080169493 Lee et al. Jul 2008 A1
20080169516 Chung Jul 2008 A1
20080194069 Surdeanu et al. Aug 2008 A1
20080197439 Goerlach et al. Aug 2008 A1
20080199999 Weijtmans et al. Aug 2008 A1
20080227250 Ranade et al. Sep 2008 A1
20080237661 Ranade et al. Oct 2008 A1
20080258198 Bojarczuk et al. Oct 2008 A1
20080272409 Sonkusale et al. Nov 2008 A1
20090057746 Sugll et al. Mar 2009 A1
20090079008 Nandakumar et al. Mar 2009 A1
20090081858 Qin et al. Mar 2009 A1
20090108350 Cai et al. Apr 2009 A1
20090134468 Tsuchiya et al. May 2009 A1
20090179280 Kohli et al. Jul 2009 A1
20090224319 Kohli Sep 2009 A1
20090278209 Noda Nov 2009 A1
20090286367 Krull et al. Nov 2009 A1
20090302388 Cai et al. Dec 2009 A1
20090309140 Khamankar et al. Dec 2009 A1
20090311837 Kapoor Dec 2009 A1
20090321849 Miyamura et al. Dec 2009 A1
20100012988 Yang et al. Jan 2010 A1
20100038724 Anderson et al. Feb 2010 A1
20100078729 Fukutome et al. Apr 2010 A1
20100100856 Mittal Apr 2010 A1
20100133624 Nandakumar et al. Jun 2010 A1
20100148153 Hudait et al. Jun 2010 A1
20100149854 Vora Jun 2010 A1
20100187641 Zhu et al. Jul 2010 A1
20100207182 Paschal Aug 2010 A1
20100270600 Inukai et al. Oct 2010 A1
20100276761 Tung et al. Nov 2010 A1
20110059588 Kang Mar 2011 A1
20110073961 Dennard et al. Mar 2011 A1
20110074498 Thompson et al. Mar 2011 A1
20110079860 Verhulst Apr 2011 A1
20110079861 Shifren et al. Apr 2011 A1
20110095811 Chi et al. Apr 2011 A1
20110147828 Murthy et al. Jun 2011 A1
20110169082 Zhu et al. Jul 2011 A1
20110175140 Taylor et al. Jul 2011 A1
20110175170 Wang et al. Jul 2011 A1
20110180880 Chudzik et al. Jul 2011 A1
20110193164 Zhu Aug 2011 A1
20110212590 Wu et al. Sep 2011 A1
20110230039 Mowry et al. Sep 2011 A1
20110242921 Tran et al. Oct 2011 A1
20110248352 Shifren Oct 2011 A1
20110294278 Eguchi et al. Dec 2011 A1
20110309447 Arghavani et al. Dec 2011 A1
20120021594 Gurtej et al. Jan 2012 A1
20120034745 Colombeau et al. Feb 2012 A1
20120056275 Cai et al. Mar 2012 A1
20120065920 Nagumo et al. Mar 2012 A1
20120108050 Chen et al. May 2012 A1
20120132998 Kwon et al. May 2012 A1
20120138953 Cai et al. Jun 2012 A1
20120146155 Hoentschel et al. Jun 2012 A1
20120161210 Heinrich et al. Jun 2012 A1
20120167025 Gillespie et al. Jun 2012 A1
20120187491 Zhu et al. Jul 2012 A1
20120190177 Kim et al. Jul 2012 A1
20120223363 Kronholz et al. Sep 2012 A1
Foreign Referenced Citations (14)
Number Date Country
0274278 Jul 1988 EP
0312237 Apr 1989 EP
0531621 Mar 1993 EP
0683515 Nov 1995 EP
0889502 Jan 1999 EP
1450394 Aug 2004 EP
59193066 Nov 1984 JP
4186774 Jul 1992 JP
8153873 Jun 1996 JP
8288508 Nov 1996 JP
2004087671 Mar 2004 JP
794094 Jan 2008 KR
WO 2005093831 Oct 2005 WO
WO2011062788 May 2011 WO
Non-Patent Literature Citations (33)
Entry
Abiko, H et al., “A Channel Engineering Combined with Channel Epitaxy Optimization and TED Suppression for 0.15 μ n-n Gate CMOS Technology”, 1995 Symposium on VLSI Technology Digest of Technical Papers, pp. 23-24, 1995.
Chau, R et al., “A 50nm Depleted-Substrate CMOS Transistor (DST)”, Electron Device Meeting 2001, IEDM Technical Digest, IEEE International, pp. 29.1.1-29.1.4, 2001.
Ducroquet, F et al. “Fully Depleted Silicon-on-Insulator nMOSFETs with Tensile Strained High Carbon Content Si1-yCy Channel”, ECS 210th Meeting, Abstract 1033, 2006.
Ernst, T et al., “Nanoscaled MOSFET Transistors on Strained Si, SiGe, Ge Layers: Some Integration and Electrical Properties Features”, ECS Trans. 2006, vol. 3, Issue 7, pp. 947-961, 2006.
Goesele, U et al., Diffusion Engineering by Carbon in Silicon, Mat. Res. Soc. Symp. vol. 610, 2000.
Hokazono, A et al., “Steep Channel & Halo Profiles Utilizing Boron-Diffusion-Barrier Layers (Si:C) for 32 nm Node and Beyond”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 112-113, 2008.
Hokazono, A et al., “Steep Channel Profiles in n/pMOS Controlled by Boron-Doped Si:C Layers for Continual Bulk-CMOS Scaling”, IEDM09-676 Symposium, pp. 29.1.1-29.1.4, 2009.
Holland, OW and Thomas, DK “A Method to Improve Activation of Implanted Dopants in SiC”, Oak Ridge National Laboratory, Oak Ridge, TN, 2001.
Kotaki, H., et al., “Novel Bulk Dynamic Threshold Voltage MOSFET (B-DTMOS) with Advanced Isolation (SITOS) and Gate to Shallow-Well Contact (SSS-C) Processes for Ultra Low Power Dual Gate CMOS”, IEDM 96, pp. 459-462, 1996.
Lavéant, P. “Incorporation, Diffusion and Agglomeration of Carbon in Silicon”, Solid State Phenomena, vols. 82-84, pp. 189-194, 2002.
Noda, K et al., “A 0.1-μm Delta-Doped MOSFET Fabricated with Post-Low-Energy Implanting Selective Epitaxy” IEEE Transactions on Electron Devices, vol. 45, No. 4, pp. 809-814, Apr. 1998.
Ohguro, T et al., “An 0.18-μm CMOS for Mixed Digital and Analog Aplications with Zero-Volt-Vth Epitaxial-Channel MOSFET's”, IEEE Transactions on Electron Devices, vol. 46, No. 7, pp. 1378-1383, Jul. 1999.
Pinacho, R et al., “Carbon in Silicon: Modeling of Diffusion and Clustering Mechanisms”, Journal of Applied Physics, vol. 92, No. 3, pp. 1582-1588, Aug. 2002.
Robertson, LS et al., “The Effect of Impurities on Diffusion and Activation of Ion Implanted Boron in Silicon”, Mat. Res. Soc. Symp. vol. 610, 2000.
Scholz, R et al., “Carbon-Induced Undersaturation of Silicon Self-Interstitials”, Appl. Phys. Lett. 72(2), pp. 200-202, Jan. 1998.
Scholz, RF et al., “The Contribution of Vacancies to Carbon Out-Diffusion in Silicon”, Appl. Phys. Lett., vol. 74, No. 3, pp. 392-394, Jan. 1999.
Stolk, PA et al., “Physical Mechanisms of Transient Enhanced Dopant Diffusion in Ion-Implanted Silicon”, J. Appl. Phys. 81(9), pp. 6031-6050, May 1997.
Thompson, S et al., “MOS Scaling: Transistor Challenges for the 21st Century”, Intel Technology Journal Q3' 1998, pp. 1-19, 1998.
Wann, C. et al., “Channel Profile Optimization and Device Design for Low-Power High-Performance Dynamic-Threshold MOSFET”, IEDM 96, pp. 113-116, 1996.
Werner, P et al., “Carbon Diffusion in Silicon”, Applied Physics Letters, vol. 73, No. 17, pp. 2465-2467, Oct. 1998.
Yan, Ran-Hong et al., “Scaling the Si MOSFET: From Bulk to SOI to Bulk”, IEEE Transactions on Electron Devices, vol. 39, No. 7, Jul. 1992.
Banerjee, et al. “Compensating Non-Optical Effects using Electrically-Driven Optical Proximity Correction”, Proc. of SPIE vol. 7275 7275OE, 2009.
Cheng, et al. “Extremely Thin SOI (ETSOI) CMOS with Record Low Variability for Low Power System-on-Chip Applications”, Electron Devices Meeting (IEDM), Dec. 2009.
Cheng, et al. “Fully Depleted Extremely Thin SOI Technology Fabricated by a Novel Integration Scheme Feturing Implant-Free, Zero-Silicon-Loss, and Faceted Raised Source/Drain”, Symposium on VLSI Technology Digest of Technical Papers, pp. 212-213, 2009.
Drennan, et al. “Implications of Proximity Effects for Analog Design”, Custom Integrated Circuits Conference, pp. 169-176, Sep. 2006.
Hook, et al. “Lateral Ion Implant Straggle and Mask Proximity Effect”, IEEE Transactions on Electron Devices, vol. 50, No. 9, pp. 1946-1951.
Hori, et al., “A 0.1 μm CMOS with a Step Channel Profile Formed by Ultra High Vacuum CVD and in-Situ Doped Ions”, Proceedsing of the International Electron Devices Meeting, New York, IEEE, US, pp. 909-911, Dec. 5, 1993.
Matshuashi, et al. “High-Performance Double-Layer Epitaxial-Channel PMOSFET Compatible with a Single Gate CMOSFET”, Symposium on VLSI Technology Digest of Technical Papers, pp. 36-37, 1996.
Shao, et al., “Boron Diffusion in Silicon: The Anomalies and Control by Point Defect Engineering”, Materials Science and Engineering R: Reports, vol. 42, No. 3-4, pp. 65-114, Nov. 1, 2003, Nov. 2012.
Sheu, et al. “Modeling the Well-Edge Proximity Effect in Highly Scaled MOSFETs”, IEEE Transactions on Electron Devices, vol. 53, No. 11, pp. 2792-2798.
Komaragiri, R. et al., “Depletion-Free Poly Gale Electrode Architecture for Sub 100 Nanometer CMOS Devices with High-K Gate Dielectrics”, IEEE IEDM Tech Dig., San Francisco CA, 833-836, Dec. 13-15, 2004.
Samsudin, K et al., “Integrating Intrinsic Parameter Fluctuation Description into BSIMSOI to Forecast sub-15nm UTB SOI based 6T SRAM Operation”, Solid-State Electronics (50), pp. 86-93, 2006.
Wong, H et al., “Nanoscale CMOS”, Proceedings of the IEEE, Vo. 87, No. 4, pp. 537-570, Apr. 1999.