Method for processing data

Information

  • Patent Grant
  • 7657877
  • Patent Number
    7,657,877
  • Date Filed
    Thursday, June 20, 2002
    22 years ago
  • Date Issued
    Tuesday, February 2, 2010
    14 years ago
Abstract
A method and device for translating a program to a system including at least one first processor and a reconfigurable unit. Code portions of the program which are suitable for the reconfigurable unit are determined. The remaining code of the program is extracted and/or separated for processing by the first processor.
Description
FIELD OF THE INVENTION

The present invention relates to data processing. In particular, the present invention relates to traditional, i.e., conventional and reconfigurable processor architectures as well as methods therefor, which permit translation of a classical high-level language (PROGRAM) such as Pascal, C, C++, Java, etc., in particular onto a reconfigurable architecture. The present invention relates in particular to integration and/or close coupling of reconfigurable processors with standard processors, data exchange, and synchronization of data processing.


BACKGROUND INFORMATION

A conventional processor architecture (PROCESSOR) is understood in the present case to refer to sequential processors having a von Neumann architecture or a Harvard architecture, such as controllers or CISC processors, RISC processors, VLIW processors, DSP processors, etc.


The term “reconfigurable target architecture” is understood in the present case to refer to modules (VPUs) having a function and/or interconnection that is repeatedly configurable, in particular configurable without interruption during run time, in particular integrated modules having a plurality of one-dimensionally or multidimensionally arranged arithmetic and/or logic and/or analog and/or memory modules, in particular also coarse-grained modules (PAEs) which are interlinked directly or via a bus system.


The generic class of such modules includes in particular systolic arrays, neural networks, multiprocessor systems, processors having a plurality of arithmetic units and/or logic cells, interlinking and network modules such as crossbar switches as well as known modules of the generic types FPGA, DPGA and XPUTER, etc. In this connection, reference is made in particular to the following patents and patent applications: P 44 16 881.0-53, DE 197 81 412.3, DE 197 81 483.2, DE 196 54 846.2-53, DE 196 54 593.5-53, DE 197 04 044.6-53, DE 198 80 129.7, DE 198 61 088.2-53, DE 199 80 312.9, PCT/DE 00/01869, DE 100 36 627.9-33, DE 100 28 397.7, DE 101 10 530.4, DE 101 11 014.6, PCT/EP 00/10516, EP 01 102 674.7, DE 196 51 075.9-53, DE 196 54 846.2-53, DE 196 54 593.5-53, DE 197 04 728.9, DE 197 07 872.2, DE 101 39 170.6, DE 199 26 538.0, DE 101 42 904.5, DE 101 10 530.4. These are herewith incorporated to the full extent for disclosure purposes.


This system may be designed in particular as a (standard) processor or module and/or may be integrated into a semiconductor (system on chip, SoC).


Reconfigurable modules (VPUs) of different generic types (such as PACT XPP technology, Morphics, Morphosys, Chameleon) are largely incompatible with existing technical environments and programming methods.


Programs for these modules are typically incompatible with existing programs of CPUs. A considerable development expense is thus necessary for programming, e.g., in particular for modules of the generic types Morphics, Morphosys. Chameleon already integrates a standard processor (ARC) on more or less reconfigurable modules. This makes approaches for programming tools available. However, not all technical environments are suitable for the use of ARC processors; in particular there are often existing programs, code libraries, etc. for any indeterminate other CPUs.


In internal experiments it has been found that there are certain methods and program sequences which may be processed better using a reconfigurable architecture rather than a conventional processor architecture. Conversely, there are also such methods and program sequences which are better executed using a conventional processor architecture. It would be desirable to provide a sequence partitioning to permit appropriate optimization.


Conventional translation methods for reconfigurable architectures do not support any forwarding of codes to any standard compilers for generating object codes for any desired PROCESSOR. Ordinarily, the PROCESSOR is fixedly defined within the compiler.


In addition, there are no scheduling mechanisms for reconfiguring the individual configurations generated for VPUs. In particular there are no scheduling mechanisms for configuration of independently extracted portions or for individual partitions of extracted portions. Conventional corresponding translation methods are described in the dissertation Übersetzungsmethoden für strukturprogrammierbare Rechner [Translation Methods for Structure Programmable Computers], by Dr. Markus Weinhardt, 1997, for example.


Several conventional methods are known for partitioning array CODE, e.g., João M. P. Cardoso, Compilation of Java™ Algorithms onto Reconfigurable Computing Systems with Exploitation of Operation-Level Parallelism, Ph.D. dissertation, Universidade Técnica de Lisboa (UTL), 2000.


However, these methods are not embedded into any complete compiler systems. Furthermore, these methods presuppose complete control of the reconfiguration by a host processor, which involves considerable complexity. The partitioning strategies are designed for FPGA-based systems and therefore do not correspond to any actual processor model.


SUMMARY

An object of the present invention is to provide a method for a commercial application.


A reconfigurable processor (VPU) is thus designed into a technical environment which has a standard processor (CPU) such as a DSP, RISC, CISC processor or a (micro)controller. The design may be accomplished according to an embodiment of the present invention in such a way that there is a simple and efficient connection. One resulting aspect is the simple programmability of the resulting system. Further use of existing programs of the CPU as well as the code compatibility and simple integration of the VPU into existing programs are taken into account.


A VPU (or a plurality of VPUs, although this need not be mentioned specifically each time) is coupled to a preferred CPU (or a plurality of CPUs, although this need not be mentioned specifically each time) so that it assumes the position and function of a coprocessor (or a plurality of coprocessors that respond optionally). This function permits a simple tie-in into existing program codes according to the pre-existing methods for working with coprocessors according to the related art.


The data exchange between the CPU and VPU according to the present invention may be accomplished by memory coupling and/or IO coupling. The CPU and VPU may share all resources; in particular embodiments, it is also possible for the CPU and VPU to jointly use only a portion of the resources and to make other resources available explicitly and/or exclusively for a CPU or VPU.


To perform a data exchange, data records and/or configurations may be copied and/or written/read in memory areas particularly provided for those purposes and/or corresponding basic addresses may be set in such a way that these point to the particular data areas.


To control the coprocessor, preferably a data record which contains the basic settings of a VPU, e.g., certain basic addresses are provided, for example. In addition, status variables may also be provided for triggering and for function control of a VPU by a CPU and for acknowledgments from a VPU to a CPU. This data record may be exchanged via a shared memory (RAM) and/or via a shared peripheral address space (IO).


For synchronization of the CPU and VPU, unilaterally or mutually acting interrupt methods (which are implemented, for example, by signal transfer over interrupt lines and/or interrupt inputs that are specifically dedicated and/or designed for this purpose) and/or the synchronization is accomplished by polling methods. Furthermore, interrupts may also be used for synchronization of data transfers and/or DMA transfers.


In an example embodiment that is particularly preferred, a VPU is started by a CPU and thereafter operates preferably independently of the application.


A preferred design in which the VPU provides its own mechanisms for loading and controlling configurations is particularly efficient. The generic type of these VPUs include, for example, PACT XPP and Chameleon. The circuits according to the present invention permit a method of operation in which the configurations of the VPU are loaded into a memory together with the program to be executed by the CPU. During execution of the program, the CPU may refer the VPU to the memory locations (e.g., by giving the addresses or pointers), each containing configurations to be executed. The VPU may then load the configurations independently and without further influence by the CPU. The execution by the CPU starts immediately or optionally by means of additional information (e.g., interrupt and/or start instruction).


In a particularly preferred expansion, the VPU may read and write data independently within a memory.


In a particularly preferred expansion, the VPU may also independently load new configurations out of the memory and may perform new configurations as needed without requiring any further influence by the CPU.


These embodiments permit extensive operation of VPUs independently of CPUs. Only a synchronization exchange between CPU and VPU, which may preferably take place bidirectionally, is provided in addition to coordinate data processing operations and/or executions of configurations.


It has also been recognized that methods of data processing may and/or should preferably be designed so that particularly suitable portions (VPU code) of the program to be translated are identified and extracted for the reconfigurable target architecture (VPU) to permit particularly efficient data processing. These portions are to be partitioned accordingly and the time sequence configuration of the individual partitions is to be controlled.


The remaining portions of the program may be translated onto a conventional processor architecture (PROCESSOR). This is preferably accomplished in such a way that these portions are output as high-level language code in a standard high-level language (e.g., ANSI C) so that an ordinary high-level language compiler (optionally pre-existing) is able to process it without difficulty.


It should also be pointed out that these methods may also be used for groups of a plurality of modules.


In particular a type of “double buffering” may be used for a particularly simple and at the same time rapid reconfiguration in which a plurality of VPUs are provided, so that a portion of the VPUs may be reconfigured at a time when another portion is computing and perhaps yet another may be inactive, for example. Data links, trigger links, status links, etc. are exchanged among a plurality of VPUs in a suitable way, and are optionally wired through addressed buses and/or multiplexers/demultiplexers according to the VPUs that are currently active and/or to be reconfigured.


One advantage of this method is that existing code which has been written for any processor, may continue to be used by involving a VPU, and no modifications or only comparatively minor modifications need be made. The modifications may also be performed incrementally, with more code being transferred gradually from the processor to the VPU. The project risk drops, and there is a significant increase in clarity. It should be pointed out that such a successive transfer of more and more tasks to the VPU, i.e., to the integral, multidimensional, partially reconfigurable and in particular coarse-grained field of elements, has a special meaning on its own and is regarded as being inventive per se because of its major advantages in system porting.


In addition, the programmer is able to work in his/her accustomed development environment and need not become adjusted to a novel and possibly foreign development environment.


A first aspect of the present invention may be seen in the fact that a PROCESSOR is connected to one or more VPUs so that an efficient exchange of information is possible, in particular in the form of data information and status information.


Importance may also be attributed to the configuration of a conventional processor and a reconfigurable processor so that exchange of data information and/or status information between same is possible during running of one or more programs and/or without having to significantly interrupt data processing on the reconfigurable processor and/or the conventional processor in particular; importance may also be attributed to the design of such a system.


For example, one or all of the following linking methods and/or means may be used:

  • a) shared memory,
  • b) network (e.g., bus systems such as PCI bus, serial buses such as Ethernet, for example),
  • c) connection to an internal register set or a plurality of internal register sets,
  • d) other memory media (hard drive, flash ROM, etc.).


In principle, the VPU and/or the CPU may also independently access the memory without the assistance of a DMA. The shared memory may also be designed as a dual port memory or a multiport memory in particular. Additional modules may be assigned to the system, and in particular reconfigurable FPGAs may be used to permit fine-grained processing of individual signals or data bits and/or to make it possible to establish flexible adaptable interfaces (e.g., various serial interfaces (V24, USB, etc.), various parallel interfaces, hard drive interfaces, Ethernet, telecommunications interfaces (a/b, T0, ISDN, DSL, etc.)).


The structure of a VPU is known, for example, from the patents and patent applications described above. Attempts to arrive at alternative module definitions have become known under the name Chameleon, for example. VPUs may be integrated into a system in various ways. For example, a connection to a host processor is possible. Depending on the method, the host processor may assume the configuration control (HOSTRECONF) (e.g., Chameleon) or there may be, for example, a dedicated unit (CT) for controlling the (re)configuration.


Accordingly, the translator according to the method described here generates the control information for the reconfiguration for a CT and/or a HOSTRECONF.


The translation principle may be embodied in such a way that by using a preprocessor, the portions that may be mapped efficiently and/or reasonably on the particular certain VPU(s) may be extracted from a PROGRAM via a PREPROCESSOR. These portions are transformed into a format suitable for VPUs (NML) and are then translated further into an object code.


The remaining code and/or the extracted code is expanded according to experience at or with respect to the location of the code portions that are missing due to the extraction, by adding an interface code which controls communication between PROCESSOR(s) and VPU(s) according to the architecture of the target system. The remaining code which has been optionally expanded may preferably be extracted. This may take place as follows, for example:

















. . .



Code



. . .



# START_EXTRACTION



Code to be extracted



# END_EXTRACTION



. . .



Code



. . .



“// START_EXTRACTION” denotes the start of a code to be



extracted.



“// END_EXTRACTION” denotes the end of a code to be



extracted.










In such a case, the unit for implementation of the program in configuration codes is designed to recognize the hints and/or implementation instructions.


It is also possible for portions of the PROGRAM to be implemented directly in NML for extraction by calling NML routines and to jump to the NML routines using calls. This may take place as follows, for example:


















a)
NML code




. . .




procedure EXAMPLE




begin




. . .




end




. . .



b)
PROGRAM code




. . .




Code




. . .




call EXAMPLE     // call of the NML code




. . .




Code




. . .










In this case, the unit for implementation is designed to tie NML program portions, i.e., program portions for execution in and/or on a reconfigurable array, into a larger program.


Alternatively and/or additionally, extraction from an object-oriented class is also possible. Macros suitable for a VPU are defined as a class in the class hierarchy of an object-oriented programming language. The macros may be characterized by annotation so that they are recognized as codes intended for a VPU and are processed further accordingly—even in higher hierarchies of the language.


Within a macro, a certain networking and/or mapping is preferably predetermined by the macro which then determines the mapping of the macro onto the VPU.


Instantiation and chaining of the class results in implementation of the function which includes a plurality of macros on the VPU. In other words, instantiation and chaining of macros define the mapping and interconnection of the individual operations of all macros on the VPU and/or the interconnection and/or data exchange between the VPU and CPU, if necessary.


The interface codes are added in instantiation. Chaining describes the detailed mapping of the class on the VPU.


A class may also be formed as a call of one or more NML routines, for example.


















a)
Class code




. . .




class EXAMPLE




begin




. . .




end




. . .



b)
PROGRAM code




. . .




Code




. . .




EXAMPLE var( )     // instantiation of the class




. . .




Code




. . .










Extraction by analysis is also possible. Portions within the PROGRAM which may be mapped efficiently and/or appropriately on the VPU are recognized using the analytical methods adapted to the particular VPU.


These portions are extracted from the PROGRAM.


An analytical method suitable for many VPUs, for example, is to create data flow graphs and/or control flow graphs from the PROGRAM. These graphs may then be analyzed automatically with regard to their possible partitioning and/or mapping onto the target VPU. In this case, the portions of the graphs generated and/or the corresponding PROGRAM PORTIONS, which may be partitioned and/or mapped sufficiently well, are extracted. To do so, a partitionability and/or mappability analysis may be performed, evaluating the particular property. Partitioning and extraction of the program portions on the VPU as well as the introduction of the interfaces provided are then performed according to this evaluation.


Reference is made here explicitly to the analytical methods described in German Patent Application DE 101 39 170.6 which may be used, for example. The aforementioned patent application is herewith incorporated to full extent for disclosure purposes.


One possible analytical method is also provided by recognition of certain data types.


Different data types are more or less suitable for processing on a VPU. For example, complex pointer arithmetics, i.e., pointer-based data addressing (pointer) is difficult to map onto a VPU, whereas arrays are very easily mappable.


Therefore, the particular suitable data types and at least essential portions of their data processing may be transferred largely automatically or manually to a VPU according to the present invention and extracted accordingly. The extraction is performed in response to the occurrence of certain data types and/or data operations.


It should be pointed out here that additional parameters assigned to the data types may provide additional information for determining the executability and/or execution performance on a VPU and therefore may also be used to a significant extent for extraction. For example, the size of the arrays to be computed plays a significant role. It is usually not worthwhile to perform computations for small arrays on a VPU because the resources needed for synchronization and data exchange between the CPU and VPU may be excessive. However, it should again be pointed out that small arrays for which computations are performed particularly frequently within a loop are nevertheless very suitable for VPUs if the loop is computed almost completely on the VPU. Large arrays, however, may usually be computed particularly efficiently on a VPU.


In addition, it should be pointed out that certain data types may be created by a specially adapted compiler or, optionally, by a user (e.g., by using TYPE in Pascal), these being particularly suitable for VPUs and data processing of which is then executed on a VPU.


For example, there may be the following data types:


TYPE stream1 of byte [ ];


TYPE stream2 of byte [0 . . . 255;


The term “stream” defines a data stream usually of a great, possibly not previously known, and/or infinite, length. Stream1 here had a length that was not previously known. For example, an FIR filter programmed with this type of data (or, for example, an FFT or DCT) could be mapped automatically onto a VPU—and optionally rolled out. The reconfiguration is then typically and preferably performed in response to other mechanisms than the data stream, e.g., by counters, comparators, CT-controlled and/or by timeout. For example, if wave configuration or some other reconfiguration is to be triggered here, then this characterization of a data packet, in particular data bytes, prompted via conventional methods may be the last to take place to trigger the reconfiguration after and/or with the run-through of this data packet, which is characterized as the last data packet.


stream2 defines a data stream having the length of 256 bytes here, which may be treated like stream1, but has the property of ending after 256 bytes and thus possibly triggering a reconfiguration after the end in the sense of the patents cited above by the same applicant. In particular a wave reconfiguration, e.g., according to DE 197 04 728.9, DE 199 26 538.0, DE 102 06 857.7, DE 100 28 397.7) may be triggered with the occurrence of the last data byte and the particular PAE processing the byte may be reconfigured with the processing of this last data byte.


A translation of the extracted code according to NML which is suitable for the implemented VPU may preferably be performed.


For data flow-oriented VPUs, a data flow graph and/or a control flow graph may be created automatically, for example. The graphs are then translated into NML code.


Corresponding code portions such as loops may then be translated via a database (lookup) or ordinary transformations may be performed. For code portions, macros may also be provided and are then used further according to the IKR disclosed in the aforementioned patent applications.


Modularization according to PACT13 (PCT/DE00/01869), FIG. 28 may also be supported.


Optionally, the mapping and/or its preparation may already take place on the VPU, e.g., by performing the placement of the required resources and routing the connections (place and route). This may be done, for example, according to the conventional rules of placement and routing.


It is also possible to analyze the extracted code and/or the translated NML code for its processing efficiency by using an automatic analytical method. The analytical method is preferably selected so that the interface code and the performance influences derived from it are also included in the analysis at a suitable point. Suitable analytical methods are described, for example, in the patent applications by the present patent applicant as cited above.


The analysis is optionally performed via complete translation and implementation on the hardware system by executing the PROGRAM and performing measurements using suitable conventional methods.


It is also possible that, based on the analyses performed, various portions that have been selected for a VPU by extraction might be identified as unsuitable. Conversely, the analysis may reveal that certain portions that have been extracted for a PROCESSOR would be suitable for execution on a VPU.


An optional loop which leads back to the extraction portion after analysis based on suitable decision criteria to execute this loop with extraction specifications according to the analysis permits optimization of the translation results. This is thus an iteration. This procedure is preferred.


A loop may be introduced into the compiler run at various points.


The resulting NML code is to be partitioned according to the properties of the VPU used as needed, i.e., broken down into individual portions which may be mapped into the particular resources available.


A plurality of such mechanisms, in particular those based on graphic analysis, are known per se according to the related art. However, a preferred variant is based on analysis of the program sources and is known by the term temporal partitioning. This method is described in the aforementioned Ph.D. thesis by Cardoso, which is herewith incorporated to the full extent for disclosure purposes.


Partitioning methods, regardless of the type, are to be adapted according to the type of VPU used. When using VPUs which allow storage of intermediate results in registers and/or memories, the tie-in of the memories for storage of data and/or states is to be taken into account through the partitioning. The partitioning algorithms (e.g., the temporal partitioning) are to be adapted accordingly. Usually the actual partitioning and scheduling are greatly simplified and made possible in a reasonable manner for the first time through these patents.


Many VPUs offer the possibility of differential reconfiguration. This may be used when only relatively few changes within the configuration of PAEs are necessary in a reconfiguration. In other words, only the changes in a configuration in comparison with the present configuration are reconfigured. The partitioning in this case may be done so that the possibly differential configuration following a configuration contains only the required configuration data and does not constitute a complete configuration. It is possible to also take into account the configuration data overhead for analytical purposes in evaluating the partitioning efficiency.


The scheduling mechanisms for the partitioned codes may be expanded so that scheduling is controlled by acknowledgment messages of the VPU to the particular unit being reconfigured (CT and/or HOSTRECONF). In particular, the resulting possibility of a conditional execution, i.e., explicit determination of the subsequent partition by the state of the instantaneous partition, is utilized in partitioning. In other words, it is possible to optimize the partitioning so that conditional executions such as IF, CASE, etc. are taken into account.


If VPUs which have the ability to transmit status signals between PAEs are used, the PAEs responding to the particular states transmitted and/or cooperating in their processing, then within the partitioning and the scheduling, the additional execution may also be taken into account within the configuration of PAEs, i.e., without the necessity of complete or partial reconfiguration due to an altered conditional program run.


In addition, scheduling may support the possibility of preloading configurations during the run time of another configuration. A plurality of configurations may also be preloaded speculatively, i.e., without being certain that the configurations are needed at all. Through selection mechanisms, the configurations that are used may then be selected at run time (see also the example NLS in DE 100 50 442.6, EP 01 102 674.7).


According to an additional or alternative variant, data processing within the VPU connected to the CPU requires exactly the same number of cycles as data processing within the computation pipeline of the CPU. In the case of today's high-performance CPUs having a plurality of pipeline stages (>20) in particular, this concept may be used ideally. The special advantage is that no separate synchronization measures such as RDY/ACK are necessary and/or no adaptation of opcodes to the register control is necessary. In this method, the compiler must ensure that the VPU maintains the required number of cycles and that data processing may be balanced by the insertion of delay stages such as a fall-through FIFO, such as that described in other patent applications cited above.


The code that is output is usually completely processable on the particular downstream compilers, preferably without any additional measures. If necessary, compiler flags and constraints may be generated for controlling downstream compilers, in which case the user may optionally add his or her own specifications and/or may modify the specifications generated. The downstream compilers do not require any significant modifications, so that standard conventional tools may in principle be used.


The method proposed here is thus suitable in particular as a preprocessor and/or as a processor method, for example, upstream from compilers and development systems. However, it should be pointed out explicitly that instead of and/or together with the translator described previously, compilers according to PACT11 (DE 101 39 1706; US 2003/0056202) may also be involved in principle.


An FPGA may be connected to the architecture described here, in particular directly to the VPU, to permit fine-grained data processing and/or to permit a flexibly adaptable interface (e.g., various serial interfaces (V24, USB, etc.), various parallel interfaces, hard drive interfaces, Ethernet, telecommunications interfaces (a/b, T0, ISDN, DSL, etc.)) to additional modules. The FPGA may be configured from the VPU architecture, in particular by the CT and/or by the CPU. The FPGA may be operated statically, i.e., without run time reconfiguration, and/or dynamically, i.e., with run time reconfiguration.


Providing an interface code has already been mentioned. The interface code which is inserted into the extracted code may be predefined by various methods. The interface code is preferably stored in a database which is accessed. The unit for implementation may be designed to take into account a selection, e.g., by the programmer, in which the appropriate interface code is selected, e.g., based on instructions in the PROGRAM or by compiler flags. An interface code suitable for the implementation method of the VPU/CPU system, used in each case, may be selected.


The database itself may be created and maintained by various methods. A few examples will be presented here to illustrate the possibilities:

  • a) The interface code may be predefined by the supplier of the compiler for certain connection methods between the VPU and CPU(s). This may be taken into account in the organization of the database by keeping an appropriate memory device ready and available for this information.
  • b) The interface code may be written by the user himself, who determined the system structure, or it may be modified from existing (exemplary) interface code and added to the database. The database is preferably designed to be user-modifiable in this regard to allow the user to modify the database.
  • c) The interface code may be generated automatically by a development system using which the system structure of the VPU-CPU system has been planned and/or described and/or tested, for example.


The interface code is usually preferably designed in such a way that it conforms to the requirements of the programming language in which the extracted code was written and into which the interface code is to be inserted.


Debugging and Integration of the Tool Sets


Communication routines may be introduced into the interface codes to synchronize various development systems for the PROCESSOR and the VPU. In particular, code for the particular debugger (e.g., according to PACT11) may also be included.


The interface code is designed to control and/or enable data exchange between the PROCESSOR and the VPU. It is therefore a suitable and preferred interface for controlling the particular development systems and debuggers. For example, it is possible to activate a debugger for the PROCESSOR as long as the data is being processed by the processor. As soon as the data is transferred via the interface code to one or more VPUs, a debugger for the VPUs is to be activated. If the code is sent back to the PROCESSOR, the PROCESSOR debugger is again to be activated. It is therefore also possible and preferable to handle such sequences by inserting control codes for debuggers and/or development systems into the interface code.


Communication and control between the different development systems should therefore preferably be handled via control codes introduced into the interface codes of the PROCESSOR and/or VPU. The control codes may largely correspond to existing standards for the control of development systems.


Administration and communication of the development systems are preferably handled as described in the interface codes, but they may also be handled separately from them (if appropriate) according to a corresponding similar method.


In many programming languages, in particular in sequential languages such as C, a precise chronological order is predetermined implicitly by the language. In the case of sequential programming languages, this is accomplished by the sequence of individual instructions, for example. If required by the programming language and/or the algorithm, the time information may be mapped onto synchronization models such as RDY/ACK and/or REQ/ACK or to a time stamp method.


For example, a subsequent FOR loop may be run and iterated only when a variable (inputstream here) is acknowledged with a RDY in each run. If there is no RDY, the loop run is stopped until RDY is received:


while TRUE






    • s:=0

    • for i: 1 to 3
      • s:=s+inputstream;





The property of sequential languages of being controlled only by instruction processing is connected to the data flow principle of controlling processing through the data flow, i.e., the existence of data. In other words, an instruction and/or a statement (e.g., s:=s+inputstream) is processed only when it is possible to execute the operation and the data is available.


It is noteworthy that this method does not usually result in any change in the syntax or semantics of a high-level language.


More complex functions of a high-level language such as looping are implemented by macros. The macros are predefined by the compiler and are instantiated at the translation time.


Macros are constructed either of simple language constructs of the high-level language or they are constructed at the assembler level. Macros may be parameterized to permit simple adaptation to the algorithm described (see also PACT11).


A standard processor, e.g., an RISC, CISC or DSP (CPU), is thus linked to a reconfigurable processor (VPU).


Two different linkage variants, but preferably variants that may also be implemented simultaneously, may be described as follows.


A first variant includes a direct link to the instruction set of a CPU (instruction set linkage).


A second variant involves linkage via tables in the main memory. Tabulation means are therefore provided in this variant.


Free unused instructions are usually present within an instruction set (ISA) of a CPU. One or more of these free unused instructions is now used to control VPUs (VPUCODE).


A configuration unit (CT) of a VPU is triggered by the decoding of a VPUCODE, and executes certain sequences as a function of the VPUCODE. There is thus a responsive CT for VPU decoding.


A VPUCODE may, for example, trigger the loading and/or execution of configurations by the configuration unit (CT) for a VPU.


In an expanded embodiment, a VPUCODE may be translated to different VPU instructions via a translation table which is preferably managed by the CPU, or alternatively it may also be managed by the CPU, by a VPU, or from an external unit.


The configuration table may be set as a function of the CPU program or code section that has been executed.


After arrival of a load instruction, the VPU loads configurations out of its own memory or a memory shared with the CPU. In particular, a VPU configuration may be included in the code of the CPU program being executed at the moment.


After receiving an execution instruction, a VPU executes the configuration to be executed and performs the corresponding data processing. The end of data processing may be indicated to the CPU by a termination signal (TERM). Appropriate signal lines/interrupt inputs, etc. are present and/or configured accordingly.


Due to the occurrence of a VPUCODE, wait cycles may be executed on the CPU until the termination signal (TERM) of the termination of data processing by the CPU arrives.


In a preferred embodiment, processing of the next code continues. If another VPUCODE occurs, then it is possible to wait for the preceding code to be terminated or all the VPCODEs that have been started are queued in a processing pipeline or a task switch is performed, in particular as described below.


Termination of data processing is signaled by the arrival of the termination signal (TERM) in a status register. Termination signals arrive in the order of a possible processing pipeline.


Data processing on the CPU may be synchronized to the arrival of a termination signal by testing the status register.


In one possible embodiment, a task switch may be triggered if an application cannot be continued before the arrival of TERM, e.g., due to data dependencies.


It is preferable if loose links are established between processors and VPUs, in which VPUs function largely as independent coprocessors.


Such a linkage involves one or more shared data sources and data sinks, usually over shared bus systems and/or shared memories. Data is exchanged between a CPU and a VPU via DMAs and/or other memory access controllers. Data processing is preferably synchronized via an interrupt control or a status query mechanism (e.g., polling).


A tight linkage corresponds to the direct linkage of a VPU to the instruction set of a CPU, as described above.


In a direct arithmetic unit linkage, a high reconfiguration performance in particular is important. Therefore, wave reconfiguration is preferred. In addition, the configuration words are preferably preloaded so that when the instruction is executed, the configuration may be configured particularly rapidly (via wave reconfiguration, in the optimum case within one cycle). It would also be possible to provide a plurality of arrays, identical arrays in particular, instead of a partial array configuration in the case of high-performance applications, but also in the case of primarily low-performance applications in particular, and to reconfigure at least one of these for a new task, in particular in advance, and then to change easily and completely to another array as needed instead of a reconfiguration or partial reconfiguration of an integral multidimensional coarse-grained field which is partially reconfigurable in run-time. Signals may be sent to the subarrays, e.g., via MUX/DEMUX stages, in particular I/O signals, data signals, status signals, and/or trigger signals.


For wave reconfiguration, the configurations that are presumably to be executed will preferably be recognized in advance by the compiler at compilation time and preloaded accordingly at run time.


At the time of instruction execution, the corresponding configuration is optionally selected and executed individually for each PAE and/or for a PAE subset. Such methods are also described in the publications identified above.


A preferred implementation may provide for different data transfers between a CPU and a VPU. Three particularly preferred methods that may be used individually or in combination are described below.


In the case of register linkage, the VPU may take data from a CPU register, process it and write it back to a CPU register.


Synchronization mechanisms are preferably used between the CPU and the VPU.


For example, the VPU may receive a RDY signal due to the data being written to the CPU register by the CPU and then the VPU may process the data thus written. Readout of data from a CPU register by the CPU may result in an ACK signal, which thus signals to the VPU data acceptance by the CPU. Use of the conventional RDY/ACK protocol in a different manifestation is advantageous in the present case precisely with coarse-grained cells of reconfigurable units.


CPUs do not typically make similar mechanisms available.


Two possible implementations are described in greater detail.


One approach that is easily implemented is to perform the data synchronization via a status register. For example, the VPU may indicate to the status register the successful readout of data from a register and the associated ACK signal and/or input of data into a register and the associated RDY signal. The CPU first tests the status register and performs wait loops or task switching, for example, until the RDY or ACK is received, depending on the operation. The CPU will then continue to perform the particular register data transfer.


In an expanded embodiment, the instruction set of the CPU is expanded by adding load/store instructions with an integrated status query (load_rdy, store_ack). For example, a new data word is written into a CPU register only when the register has first been read out by the VPU and an ACK signal has been received. Accordingly, load_rdy reads data out of a CPU register only when the VPU has previously entered new data and generated a RDY signal.


Data belonging to a configuration to be executed may be written to the CPU registers and/or may be read out of the registers successively more or less by block moves as in the related art. Block move instructions that are implemented if necessary may preferably be expanded by the integrated RDY/ACK status query described here.


A plurality of modifications and different embodiments of this basic method are possible.


The wave reconfiguration mentioned above allows starting of a new VPU instruction and the corresponding configuration as soon as the operand of the previous VPU instruction has been accepted from the CPU registers. The operands for the new instruction may be written directly into the CPU register after the instruction start.


According to the wave reconfiguration method, the VPU is reconfigured successively for the new VPU instruction on completion of data processing of the previous VPU instruction, and the new operands are processed.


In addition, data may be exchanged between a VPU and a CPU through suitable bus accesses to shared resources.


If there is to be an exchange of data that has been processed by the CPU just prior to the exchange and therefore is presumably still in the cache of the CPU which is preferably to be provided or if the data is processed by the CPU immediately next and therefore is logically placed in the cache of the CPU, this data is preferably read by the VPU out of the cache of the CPU or it is written to the cache of the CPU. This may be determined largely in advance at the compilation time through suitable analyses of the application by the compiler and the binary code may be generated accordingly.


If there is to be an exchange of data that is presumably not in the cache of the CPU and/or is presumably not needed subsequently in the cache of the CPU, it is preferably read directly by the VPU from the external bus and the data source connected to it (e.g., memory, peripheral) and/or written to the external bus and the data sink associated with it (e.g., memory, peripheral). This may be ascertained by the compiler largely in advance at compilation time of the application through suitable analyses, and the binary code may be generated accordingly.


In a transfer over the bus bypassing the cache, a protocol between the cache and the bus is preferably implemented, ensuring correct contents of the cache. For example, the conventional MESI protocol may be used for this purpose.


The methods described here need not at first have any particular mechanism for operating system support. It is preferable to ensure that an operating system to be executed behaves according to the status of a VPU to be supported, which is possible and to which end in particular schedulers may be provided.


In the case of a tight arithmetic unit linkage, the status register of the CPU into which the linked VPU enters its data processing status (termination signal) is preferably queried. If further data processing is to be transmitted to the VPU and the VPU has not yet terminated the previous data processing, the system will wait and/or a task switch will preferably be performed.


For coprocessor coupling, mechanisms controlled via the operating system, in particular the scheduler, are preferably used.


A simple scheduler may either allow the current task to continue running on the CPU after transfer of a function to a VPU, if it is able to run independently and simultaneously with data processing on a VPU. If or as soon as the task must wait for termination of data processing on the VPU, the task scheduler switches to another task.


Each task newly activated will check (if it uses the VPU) before use on whether the VPU is available for data processing and/or whether it is still processing data at the present time. Either it must then wait for termination of data processing or preferably the task is switched.


A simple and nevertheless efficient method may be created by so-called descriptor tables which may be implemented as follows, for example.


Each task generates one or more tables (VPUCALL) having a suitable fixed data format in the memory area assigned to it for callup of the VPU. This table contains all the control information for a VPU such as the program/configuration to be executed and/or the pointer to the memory location(s) or data sources of the input data and/or the memory location(s) or data sinks of the result data and/or additional execution parameters, e.g., data array variables.


The memory area of the operating system contains a table or an interlinked list (LINKLIST) which points to all the VPUCALL tables in the order of their creation.


Data processing on the VPU then takes place in such a way that a task creates a VPUCALL and calls up the VPU via the operating system. The operating system creates an entry in the LINKLIST. The VPU processes the LINKLIST and executes the particular VPU call referenced. The termination of the particular data processing is indicated by a corresponding entry in the LINKLIST and/or VPUCALL table.


The VPU thus works largely independently of the CPU. The operating system and/or the particular task must only monitor the tables (LINKLIST and/or VPUCALL).


These two methods are particularly efficient in performance if the VPU used has an architecture which allows reconfiguration that is and/or may be superimposed on data processing.


It is thus possible to start a new data processing and possibly a reconfiguration associated with it, immediately after reading the last operands out of the data sources. In other words, it is no longer the termination of data processing, but instead reading the last operands is necessary for synchronization. This greatly increases the performance in data processing.


The possible use of an operating system has an additional influence on the handling of states. Operating systems use task schedulers, for example, for managing multiple tasks to permit multitasking.


Task schedulers interrupt tasks at a certain point in time, start other tasks and, after the latter have been processed, resume processing of the interrupted task. Locally relevant states may remain unsaved if it is ensured that a configuration (which corresponds to processing of a task) will be terminated only after complete processing—i.e., when all data and states to be processed within this configuration cycle have been saved.


However, if the task scheduler interrupts configurations before they have been completely processed, local states and/or data must be stored. In addition, this is advantageous when the processing time of a configuration cannot be predicted. In conjunction with the known holding problem and the risk that a configuration will not be terminated at all (e.g., due to an error), this also seems appropriate to prevent a deadlock of the entire system.


In other words, taking into account task switching, relevant states may also be regarded as states which are necessary for task switching and correct restart of data processing.


Thus, in task switching the memory for results and, if necessary, also the memory for the operands must be saved and restored again at a later point in time, i.e., on returning to this task. This may be performed by a method comparable to the conventional PUSH/POP instructions and methods. In addition, the state of data processing, i.e., the pointer to the last operand processed completely, must be saved. Reference should be made here in particular to PACT18.


Depending on the optimization of task switching, there are two options, for example:


a) The interrupted configuration is reconfigured and only the operands are loaded. Data processing begins anew as if the processing of the configuration had not even been started. In other words, all data computations are executed from the beginning, and if necessary, computations are even performed in advance. This option is simple but not very efficient.


b) The interrupted configuration is reconfigured, the operands and results that have already been calculated being loaded into the particular memory. Data processing is continued with the operands that have not been completely computed. This method is much more efficient, but it presupposes that additional states which occur during processing of the configuration may become relevant, if necessary; for example, at least one pointer to the last operand completely computed must be saved, so that it is possible to begin again with their successors after reconfiguration.


A particularly preferred variant for managing relevant data is made available through the context switching described below. In task switching and/or in executing and switching configurations (see, for example, patent application PACT15 (PCT/EP02/02398), which is herewith fully included for disclosure purposes) it may be necessary to save data or states, which are not typically saved together with the working data in the memories for a following configuration because they merely mark an end value, for example.


Context switching according to the present invention is implemented by removing a first configuration while the data to be saved remains in the corresponding memories (REGs) (memories, registers, counters, etc.).


A second configuration is loaded, connecting the REG in a suitable manner and in a defined order to one or more global memories.


The configuration may use address generators, for example, to access the global memory (memories). The configuration may use address generators, for example, to access REGs designed as memories. According to the configured connection between the REGs, the contents of the REGs are written into the global memory in a defined order, with the particular addresses being specified by address generators. The address generator generates the addresses for the global memory (memories) so that the memory areas containing data (PUSH AREA) of the first configuration that has been removed may be assigned unambiguously.


In other words, different address spaces are preferably provided for different configurations. This configuration corresponds to a PUSH of conventional processors.


Other configurations then use the resources.


The first configuration should be restarted. Before that, a third configuration interconnecting the REGs of the first configuration in a defined order is started.


The configuration may use address generators, for example, to access the global memory (memories).


The configuration may use address generators, for example, to access REGs configured as memories.


An address generator generates addresses so that correct access to the PUSH AREA assigned to the first configuration is achieved. The generated addresses and the configured order of the REGs are such that the data of the REGs is output from the memories and into the REGs in the original order. The configuration corresponds to that of a POP of conventional processors.


The first configuration is restarted.


In summary, a context switch is performed so that by loading particular configurations which operate like PUSH/POP of conventional processor architectures, the data to be saved is exchanged with a global memory.


The function is to be illustrated in an example. A function adds up two rows of numbers, where the length of the rows is not known at translation time, but instead is known only at run time.


proc example






    • while i<length do
      • x[i]=a[i]+b[i]





This function is now interrupted during execution, e.g., by a task switch, or because the memory provided for x is full. At this point in time, a, b and x are in memories according to the present invention; i and optionally length must be saved, however.


To do so, the configuration “example” is terminated, with the register content being saved and a configuration push being started, reading i and length out of the registers and writing them into a memory.


proc push






    • mem[<push_adr_example>]=i

    • push_adr_example++

    • mem{<push_adr_example>]=length





According to this embodiment, push is terminated and the register content may be deleted.


Other configurations are executed. After a period of time, the example configuration is restarted.


Before that, a configuration pop is started, and it reads the register contents out of the memory again.


proc pop






    • i=mem[<push_adr_example>]

    • push_adr_example++

    • length=mem[<push_adr_example>]





After execution, pop is terminated and the register contents remain unchanged. The configuration “example” is restarted.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 shows an example of a possible system structure.



FIG. 2 shows an example compilation sequence.



FIG. 3 shows the structure of an example VPU.



FIG. 4 shows an example CPU.



FIG. 5 shows an example abstract system definition.



FIG. 6 shows an example interface.



FIG. 7 shows data transfers between VPU and CPU.



FIG. 8 shows a memory area of the operating system.





DETAILED DESCRIPTION


FIG. 1 illustrates an example of, in accordance with the present invention, an example method and shows a possible system structure, a PROCESSOR (0101) being connected to a VPU (0103) via a suitable interface (0102) for data exchange and status exchange.


A PROGRAM code (0110) is broken down (e.g., by a preprocessor for a compiler) into a portion (0111) suitable for the PROCESSOR and a VPU-suitable portion (0112), for example, according to the extraction methods described here.


Portion 0111 is translated by a standard compiler (0113) corresponding to the PROGRAM code, the additional code from a database (0114) for description and management of the interface (0102) between the PROCESSOR and a VPU being previously inserted. Sequential code executable on 0101 is generated (0116) and the corresponding programming (0117) of the interface (0102) is generated if necessary. The standard compiler may be of a type that is available as a conventional commercially available tool or as a portion of a development environment that is commercially available. The preprocessor and/or possibly the VPU compiler and/or possibly the debugger and additional tools may be integrated into an existing commercially available development environment, for example.


Portion 0112 is translated by a VPU compiler (0115), additional code for description and management of the interface (0102) being inserted from a database (0114). Configurations executable on 0103 are generated (0118) and, if necessary, the corresponding programming (0119) of the interface (0102) is also generated. It should be pointed out explicitly that in principle, compilers as described in DE 101 39 170.6 may also be used for 0115.



FIG. 2 shows a basic compilation sequence as an example. In the extraction unit (0202), a PROGRAM (0201) is broken down into VPU code (0203) and PROCESSOR code (0204) according to different methods. Different methods may be used in any combination for extraction, e.g., instructions in the original PROGRAM (0205) and/or subprogram calls (0206) and/or analytical methods (0207) and/or utilization of object-oriented class libraries (0206a). The code extracted is translated, if necessary, and checked for its suitability for the particular target system (0208), if necessary. Feedback (0209) to the extraction is possible to obtain improvements due to modified allocation of the codes to a PROCESSOR or a VPU and/or a plurality of same.


Thereafter (0211) VPU code 0203 is expanded (0212) using the interface code from a database (0210) and/or (0204) is expanded using the interface code from 0210 to 0213.


The resulting code is analyzed for its performance (0214) and, if necessary, feedback (0215) to the extraction is possible to obtain improvements due to modified allocation of the codes to the PROCESSOR or a VPU.


The resulting VPU code (0216) is forwarded for further translation to a downstream compiler suitable for the VPU. For further translation, the resulting PROCESSOR code (0217) is processed further in any downstream compiler suitable for the PROCESSOR.


It should be pointed out that individual steps may be omitted, depending on the method. Generally, however, at least largely complete code, which is directly translatable without significant intervention by the programmer, or at least without any significant intervention, is output to the particular downstream compiler systems.


It is thus proposed that a preprocessor means be provided with a code input for supplying code to be compiled, with code analyzing means, in particular code structure and/or data format and/or data stream recognition and/or evaluation units, and with a segmenting evaluation unit for evaluating a code segmentation performed in response to signals from the code analyzing unit and, if necessary, with an iteration means for repeating a code segmentation until stable and/or sufficiently acceptable values are achieved, and with at least two partial code outputs, a first partial code output outputting partial code for at least one conventional processor, and at least one additional partial code output outputting code intended for processing by means of reconfigurable logic units, in particular multidimensional units having cell structures, in particular register means which process coarse-grained data and/or logic cells (PAEs) having arithmetic units and the like plus allocated register units, if necessary, and/or a fine-grained control means and/or monitoring means, such as state machines, RDY/ACK trigger lines and communication lines, etc. Both partial code outputs may be located at one physical output as serial multiplex outputs.


The database for the interface codes (0210) is constructed independently of and prior to the compiler run. For example, the following sources for the database are possible: predefined by the supplier (0220), programmed by the user (0221) or generated automatically by a development system (0222).



FIG. 3 shows the structure of a particularly preferred VPU. Preferably hierarchical configuration managers (CTs) (0301) control and manage a system of reconfigurable elements (PACs) (0302). The CTs are assigned a local memory for the configurations (0303). The memory also has an interface (0304) to a global memory which makes the configuration data available. The configuration runs in a controllable manner via an interface (0305). An interface of the reconfigurable elements (0302) to sequence control and event management (0306) is present, as is an interface to the data exchange (0307). An interface of the reconfigurable elements (0302) for sequence control and event management (0306) is present as is an interface for data exchange (0307).



FIG. 4 shows details of an exemplary CPU system, e.g., a DSP of the C6000 type (0401) by Texas Instruments. This shows the program memory (0402), data memory (0403), any peripheral device (0404) and EMIF (0405). A VPU is integrated (0408) as a coprocessor via a memory bus (0406) and a peripheral bus (0407). A DMA controller (EDMA) (0409) may perform any DMA transfers, e.g., between the memory (0403) and the VPU (0408) or the memory (0403) and the peripheral device (0404).



FIG. 5 shows a more abstract system definition. A CPU (0501) is assigned a memory (0502) to which it has reading access and/or writing access. A VPU (0503) is connected to the memory. The VPU is subdivided into a CT portion (0509) and the reconfigurable elements for data processing (0510).


To increase the memory accesses, the memory may have a plurality of independent access buses (multiport). In a particularly preferred embodiment, the memory is segmented into a plurality of independent segments (memory banks), each bank being independently accessible. All the segments are preferably located within a uniform address space. One segment is preferably available mainly for the CPU (0504) and another segment is mainly available for data processing by the VPU (0505) while yet another segment is mainly available for the configuration data of the VPU (0506).


Typically and preferably, a fully configured VPU will have its own address generators and/or DMAs to perform data transfers. Alternatively and/or additionally, it is possible for a DMA (0507) to be provided within the system (FIG. 5) for data transfers with the VPU.


The system includes IO (0508) which may be accessible by the CPU and VPU.


The CPU and VPU may each have dedicated memory areas and IO areas to which the other has no access.


A data record (0511) which may be in the memory area and/or in the IO area and/or partially in one of the two is used for communication between the CPU and the VPU, e.g., for exchanging basic parameters and control information. The data record may contain the following information, for example:

    • 1. Basic address(es) of the CT memory area in 0506 for localizing the configurations.
    • 2. Basic address(es) of data transfers with 0505.
    • 3. IO address(es) of data transfers with 0508.
    • 4. Synchronization information, e.g., resetting, stopping, starting the VPU.
    • 5. Status information on the VPU, e.g., errors or states of data processing.


The CPU and the VPU are synchronized by data polling and/or preferably by interrupt control (0512).



FIG. 6 shows one possible embodiment of the interface structure of a VPU for tying into a system similar to that shown in FIG. 5. To do so, a memory/DMA interface and/or an IO interface is assigned (0601) to the VPU for data transfer; another system interface (0602) is responsible for sequence control such as managing interrupts, starting and stopping the processing, exchange of error states, etc.


The memory/DMA interface and/or IO interface is connected to a memory bus and/or an IO bus.


The system interface is preferably connected to an IO bus, but alternatively or additionally, it may also be connected to a memory according to 0511.


The interfaces (0601, 0402) may be designed for adaptation of different working frequencies of the CPU and/or the VPU and/or the system; for example, the system and/or the CPU may currently operate at 500 MHz and the VPU at 200 MHz.


The interfaces may perform a translation of the bus protocols, e.g., the VPU-internal protocol may be converted to an external AMBA bus protocol. They thus trigger bus protocol translation means and/or are designed for bus protocol translation, in particular bus protocol translation between an internal VPU protocol and a known bus protocol. It is also possible to provide for conversion directly to CPU-internal bus protocols.


The memory/DMA interface and/or the IO interface supports memory access by the CT to an external memory, which is preferably performed directly (memory mapped). The data transfer of the CT(s) and/or PAC(s) may be buffered, e.g., via FIFO stages. External memories may be addressed directly; in addition, DMA-internal and/or external DMA transfers are also performed.


Data processing, e.g., the initialization, i.e., the start of configurations, is controlled via the system interface. In addition, status and/or error states are exchanged. Interrupts for the control and synchronization between the CTs and a CPU may be supported.


The system interface is capable of converting VPU-internal protocols so that they are converted to external (standard) protocols (e.g., AMBA).


A preferred method of code generation for the system described here is described herein. This method describes a compiler which breaks down program code into code for a CPU and code for a VPU. The breakdown is performed by different methods on different processors. In a particularly preferred embodiment, the particular codes broken down are expanded by adding the interface routines for communication between CPU and VPU. The expansion may be performed automatically by the compiler.


The following tables show examples of communication between a CPU and a VPU. The columns are assigned to the particular active function units: CPU, system DMA and DMA interface (EDMA) and/or memory interface (memory I/F), system interface (system I/F, 0602), CTs and the PAC. The individual cycles are entered into the cells in the order of their execution. K1 references a configuration 1 that is to be executed.


The first table shows as an example a sequence when using the system DMA (EDMA) for data transfer:
















CPU
EDMA
System I/F
CTs
PAC







Initiate






K1



Load K1


Start K1


Configure





K1


Initiate

Start K1

Wait for


loading of



data


data by


EDMA


Initiate
Data


Data


reading of
transfer


processing


data by
read data


EDMA



Data
Signal the



transfer
end of the



write data
operation









It should be pointed out that synchronization between the EDMA and the VPU is performed automatically via interface 0401, i.e., DMA transfers take place only when the VPU is ready.


A second table shows a preferred optimized sequence as an example. The VPU itself has direct access to the configuration memory (0306). In addition, data transfers are executed by DMA circuit within the VPU, which may be fixedly implemented, for example, and/or formed by the configuration of configurable parts of the PAC.
















CPU
EDMA
System I/F
CTs
PAC







Initiate






K1


Start K1
Read the

Configure



configuration

K1



Data
Start K1

Read data



transfer



read data






Data






processing



Data
Signal the

Write data



transfer
end of the



write data
operation









The complexity for the CPU is minimal.


In summary, the present invention relates to methods that permit translation of a traditional high-level language such as Pascal, C, C++, Java, etc., onto a reconfigurable architecture. This method is designed so that only those portions of the program that are to be translated and are suitable for the reconfigurable target architecture are extracted. The remaining portions of the program are translated onto a conventional processor architecture.


For reasons of simplicity, FIG. 7 shows only the relevant components (in particular the CPU), although a significant number of other components and networks would typically be present.


A preferred implementation such as that in FIG. 7 may provide different data transfers between a CPU (0701) and a VPU (0702). The configurations to be executed on the VPU are selected by the instruction decoder (0705) of the CPU, which recognizes certain instructions intended for the VPU and triggers the CT (0706), so that it loads the corresponding configurations out of a memory (0707) assigned to the CT—which may be shared with the CPU in particular or may be the same as the working memory of the CPU—into the array of PAEs (PA, 0108).


CPU registers (0703) are provided to obtain data in a register connection, to process the data and to write it back to a CPU register. A status register (0704) is provided for data synchronization. In addition, a cache is also provided, so that when data that has just been processed by the CPU is to be exchanged, it is still presumably in the cache (0709) of the CPU and/or will be processed immediately thereafter by the CPU.


The external bus is labeled as (0710) and through it, data is read out of a data source (e.g., memory, peripheral device) connected to it, for example, and/or is written to the external bus and the data sink connected to it (e.g., memory, peripheral device). This bus may in particular be the same as the external bus of the CPU (0712 & dashed line).


A protocol (0711) between cache and bus is implemented, ensuring the correct contents of the cache. An FPGA (0713) may be connected to the VPU to permit fine-grained data processing and/or to permit a flexible adaptable interface (0714) (e.g., various serial interfaces (V24, USB, etc.), various parallel interfaces, hard drive interfaces, Ethernet, telecommunications interfaces (a/b, T0, ISDN, DSL, etc.)) to additional modules and/or the external bus system (0712).


According to FIG. 8, the memory area of the operating system contains a table or an interlinked list (LINKLIST, 0801) which points to all VPUCALL tables (0802) in the order in which they are created.

Claims
  • 1. A method for translating a data processing program for a system including at least one first processor and a reconfigurable unit, the method comprising: determining from the program, code portions of the program suitable for the reconfigurable unit;extracting the determined code portions for processing by the reconfigurable unit;extracting remaining code portions of the program for processing by the first processor; andappending interface code to at least one of (a) the code portions extracted for the reconfigurable unit and (b) the code portions extracted for the first processor, the appended interface code controlling communication of data to be processed between the first processor and the reconfigurable unit and enabling communication between the reconfigurable unit and the first processor by one of (a) transferring the data to be processed via dedicated memory areas and (b) transferring base addresses of memory locations in which the data to be processed is located;wherein: the data to be processed is processed by the reconfigurable unit via execution of the determined code portions; andthe suitability determination includes consideration of a plurality of factors, the plurality of factors including a data type of the data to be processed and a size of the data to be processed.
  • 2. The method as recited in claim 1, wherein the determining step includes determining the code portions based on automated analyses.
  • 3. The method as recited in claim 1, wherein the program includes instructions defining the code portions to be extracted, and wherein the method further comprises automatically analyzing the instructions.
  • 4. The method as recited in claim 1, wherein the code portions to be extracted are determined based on calls of subprograms.
  • 5. The method as recited in claim 1, wherein the interface code provides at least one of memory linkage, register linkage, and linkage via a network.
  • 6. The method as recited in claim 1, further comprising: analyzing at least one of the extracted code portions and results achievable with a given extraction; andrestarting an extraction with new improved parameters based on the analysis.
  • 7. The method as recited in claim 1, further comprising: appending control code to the extracted code for at least one of management, control, and communication of the development system.
  • 8. The method as recited in claim 1, wherein the first processor includes at least one of a von-Neumann architecture, Harvard architecture, controller, CISC processor, RISC processor, VLIW processor, and DSP processor.
  • 9. The method as recited in claim 1, wherein the remaining code is extracted so that it is translatable via any ordinary unmodified compiler that is suitable for the first processor.
  • 10. The method as recited in claim 1, wherein the appended interface code is system specific so that it enables the communication according to the system.
  • 11. The method as recited in claim 1, wherein the code portions are executable by both the first processor and the reconfigurable unit.
  • 12. The method as recited in claim 1, further comprising: in accordance with reconfiguration data, reconfiguring the reconfigurable unit for execution of the determined code portions to process the data to be processed.
  • 13. The method as recited in claim 1, wherein: the reconfigurable unit includes a plurality of configurable elements;in accordance with reconfiguration data, a reconfiguration unit configures the plurality of configurable elements for processing the data to be processed, the data to be processed being communicated between the first processor and one or more of the plurality of configurable elements in a communication that bypasses the reconfiguration unit.
  • 14. The method as recited in claim 13, wherein the reconfigurable unit includes the reconfiguration unit.
  • 15. A method for translating a data processing program for a system including at least one first processor and a reconfigurable unit, the method comprising: determining from the program, code portions of the program suitable for the reconfigurable unit;extracting the determined code portions for processing by the reconfigurable unit;extracting remaining code portions of the program for processing by the first processor;modifying at least one of (a) the code portions extracted for the reconfigurable unit and (b) the code portions extracted for the first processor to include interface code that controls communication of data to be processed between the first processor and the reconfigurable unit, and that enables communication between the reconfigurable unit and the first processor by one of (a) transferring the data to be processed via dedicated memory areas and (b) transferring base addresses of memory locations in which the data to be processed is located; andcompiling the modified code by at least one compiler;wherein: the data to be processed is processed by the reconfigurable unit via execution of the determined code portions; andthe suitability determination includes consideration of a plurality of factors, the plurality of factors including a data type of the data to be processed and a size of the data to be processed.
  • 16. A device for data processing, comprising: a first processor;a reconfigurable unit; andan arrangement configured to perform a method to translate a data processing program, the method including: determining from the program, code portions of the program suitable for the reconfigurable unit;extracting the determined code portions for processing by the reconfigurable unit;extracting remaining code portions of the program for processing by the first processor; andmodifying at least one of (a) the code portions extracted for the reconfigurable unit and (b) the code portions extracted for the first processor to include interface code that controls communication of data to be processed between the first processor and the reconfigurable unit, and that enables communication between the reconfigurable unit and the first processor by one of (a) transferring the data to be processed via dedicated memory areas and (b) transferring base addresses of memory locations in which the data to be processed is located;wherein: the data to be processed is processed by the reconfigurable unit via execution of the determined code portions; andthe suitability determination includes consideration of a plurality of factors, the plurality of factors including a data type of the data to be processed and a size of the data to be processed.
  • 17. The device as recited in claim 16, wherein: the device is configured to exchange the data to be processed and status information between the first processor and the reconfigurable unit, wherein the exchange is at least one of: i) during processing of one or more programs, ii) without having to interrupt data processing on the reconfigurable processor, and iii) without having to interrupt data processing on the first processor; and,the status information indicates a status of operations including at least one of zero, carry, overflow, equal, ready, not-ready, and error.
  • 18. The device as recited in claim 16, wherein the determination is based on calls of subprograms.
  • 19. The device as recited in claim 16, wherein the method further comprises: analyzing at least one of the extracted code portions and results achievable with a given extraction; andrestarting an extraction with new improved parameters based on the analysis.
  • 20. The device as recited in claim 16, wherein the determination includes determining the code portions based on automated analyses.
Priority Claims (31)
Number Date Country Kind
101 29 237 Jun 2001 DE national
01115021 Jun 2001 EP regional
101 35 210 Jul 2001 DE national
101 35 211 Jul 2001 DE national
101 39 170 Aug 2001 DE national
101 42 231 Aug 2001 DE national
101 42 894 Sep 2001 DE national
101 42 903 Sep 2001 DE national
101 42 904 Sep 2001 DE national
101 44 732 Sep 2001 DE national
101 44 733 Sep 2001 DE national
101 45 792 Sep 2001 DE national
101 45 795 Sep 2001 DE national
101 46 132 Sep 2001 DE national
101 54 259 Nov 2001 DE national
101 54 260 Nov 2001 DE national
01129923 Dec 2001 EP regional
02001331 Jan 2002 EP regional
102 02 044 Jan 2002 DE national
102 02 175 Jan 2002 DE national
102 06 653 Feb 2002 DE national
102 06 856 Feb 2002 DE national
102 06 857 Feb 2002 DE national
102 07 224 Feb 2002 DE national
102 07 225 Feb 2002 DE national
102 07 226 Feb 2002 DE national
102 08 434 Feb 2002 DE national
102 08 435 Feb 2002 DE national
102 12 621 Mar 2002 DE national
102 12 622 Mar 2002 DE national
02009868 May 2002 EP regional
PCT Information
Filing Document Filing Date Country Kind 371c Date
PCT/EP02/06865 6/20/2002 WO 00 6/18/2004
Publishing Document Publishing Date Country Kind
WO02/103532 12/27/2002 WO A
US Referenced Citations (471)
Number Name Date Kind
2067477 Cooper Jan 1937 A
3242998 Gubbins Mar 1966 A
3681578 Stevens Aug 1972 A
3757608 Willner Sep 1973 A
3855577 Vandierendonck Dec 1974 A
4233667 Devine et al. Nov 1980 A
4414547 Knapp et al. Nov 1983 A
4498134 Etchells et al. Feb 1985 A
4498172 Bhavsar Feb 1985 A
4566102 Hefner Jan 1986 A
4571736 Agrawal et al. Feb 1986 A
4590583 Miller May 1986 A
4591979 Iwashita May 1986 A
4663706 Allen et al. May 1987 A
4667190 Fant et al. May 1987 A
4682284 Schrofer Jul 1987 A
4686386 Tadao Aug 1987 A
4706216 Carter Nov 1987 A
4720778 Hall et al. Jan 1988 A
4720780 Dolecek Jan 1988 A
4739474 Holsztynski Apr 1988 A
4761755 Ardini et al. Aug 1988 A
4811214 Nosenchuck et al. Mar 1989 A
4852043 Guest Jul 1989 A
4852048 Morton Jul 1989 A
4860201 Miranker et al. Aug 1989 A
4870302 Freeman Sep 1989 A
4882687 Gordon Nov 1989 A
4884231 Mor et al. Nov 1989 A
4891810 de Corlieu et al. Jan 1990 A
4901268 Judd Feb 1990 A
4910665 Mattheyses et al. Mar 1990 A
4918440 Furtek et al. Apr 1990 A
4959781 Rubinstein et al. Sep 1990 A
4967340 Dawes Oct 1990 A
4972314 Getzinger et al. Nov 1990 A
5010401 Murakami et al. Apr 1991 A
5014193 Garner et al. May 1991 A
5015884 Agrawal et al. May 1991 A
5021947 Campbell et al. Jun 1991 A
5023775 Poret Jun 1991 A
5034914 Osterlund Jul 1991 A
5041924 Blackborow et al. Aug 1991 A
5043978 Nagler et al. Aug 1991 A
5047924 Matsubara et al. Sep 1991 A
5065308 Evans Nov 1991 A
5072178 Matsumoto Dec 1991 A
5076482 Matsushima et al. Dec 1991 A
5081375 Pickett et al. Jan 1992 A
5099447 Myszewski Mar 1992 A
5109503 Cruickshank et al. Apr 1992 A
5113498 Evan et al. May 1992 A
5115510 Okamoto et al. May 1992 A
5123109 Hillis Jun 1992 A
5125801 Nabity et al. Jun 1992 A
5128559 Steele Jul 1992 A
5142469 Weisenborn Aug 1992 A
5144166 Camarota et al. Sep 1992 A
5193202 Lee et al. Mar 1993 A
5203005 Horst Apr 1993 A
5204935 Mihara et al. Apr 1993 A
5208491 Ebeling et al. May 1993 A
5212716 Ferraiolo et al. May 1993 A
5218302 Loewe et al. Jun 1993 A
5226122 Thayer et al. Jul 1993 A
RE34363 Freeman Aug 1993 E
5233539 Agrawal et al. Aug 1993 A
5237686 Asano et al. Aug 1993 A
5247689 Ewert Sep 1993 A
RE34444 Kaplinsky Nov 1993 E
5274593 Proebsting Dec 1993 A
5276836 Fukumaru et al. Jan 1994 A
5287472 Horst Feb 1994 A
5287532 Hunt Feb 1994 A
5294119 Vincent et al. Mar 1994 A
5301284 Estes et al. Apr 1994 A
5301344 Kolchinsky Apr 1994 A
5303172 Magar et al. Apr 1994 A
5311079 Ditlow et al. May 1994 A
5327125 Iwase et al. Jul 1994 A
5336950 Popli et al. Aug 1994 A
5343406 Freeman et al. Aug 1994 A
5347639 Rechtschaffen et al. Sep 1994 A
5349193 Mott et al. Sep 1994 A
5353432 Richek et al. Oct 1994 A
5361373 Gilson Nov 1994 A
5379444 Mumme Jan 1995 A
5392437 Matter et al. Feb 1995 A
5410723 Schmidt et al. Apr 1995 A
5412795 Larson May 1995 A
5418952 Morley et al. May 1995 A
5418953 Hunt et al. May 1995 A
5421019 Holsztynski et al. May 1995 A
5422823 Agrawal et al. Jun 1995 A
5425036 Liu et al. Jun 1995 A
5426378 Ong Jun 1995 A
5428526 Flood et al. Jun 1995 A
5430687 Hung et al. Jul 1995 A
5440245 Galbraith et al. Aug 1995 A
5440538 Olsen et al. Aug 1995 A
5442790 Nosenchuck Aug 1995 A
5444394 Watson et al. Aug 1995 A
5448186 Kawata Sep 1995 A
5455525 Ho et al. Oct 1995 A
5457644 McCollum Oct 1995 A
5465375 Thepaut et al. Nov 1995 A
5469003 Kean Nov 1995 A
5473266 Ahanin et al. Dec 1995 A
5473267 Stansfield Dec 1995 A
5475583 Bock et al. Dec 1995 A
5475803 Stearns et al. Dec 1995 A
5475856 Kogge Dec 1995 A
5477525 Okabe Dec 1995 A
5483620 Pechanek et al. Jan 1996 A
5485103 Pedersen et al. Jan 1996 A
5485104 Agrawal et al. Jan 1996 A
5489857 Agrawal et al. Feb 1996 A
5491353 Kean Feb 1996 A
5493239 Zlotnick Feb 1996 A
5497498 Taylor Mar 1996 A
5506998 Kato et al. Apr 1996 A
5510730 El Gamal et al. Apr 1996 A
5511173 Yamaura et al. Apr 1996 A
5513366 Agarwal et al. Apr 1996 A
5521837 Frankle et al. May 1996 A
5522083 Gove et al. May 1996 A
5525971 Flynn Jun 1996 A
5530873 Takano Jun 1996 A
5530946 Bouvier et al. Jun 1996 A
5532693 Winters et al. Jul 1996 A
5532957 Malhi Jul 1996 A
5535406 Kolchinsky Jul 1996 A
5537057 Leong et al. Jul 1996 A
5537580 Giomi et al. Jul 1996 A
5537601 Kimura et al. Jul 1996 A
5541530 Cliff et al. Jul 1996 A
5544336 Kato et al. Aug 1996 A
5548773 Kemeny et al. Aug 1996 A
5550782 Cliff et al. Aug 1996 A
5555434 Carlstedt Sep 1996 A
5559450 Ngai et al. Sep 1996 A
5561738 Kinerk et al. Oct 1996 A
5570040 Lytle et al. Oct 1996 A
5574930 Halverson, Jr. et al. Nov 1996 A
5581731 King et al. Dec 1996 A
5583450 Trimberger et al. Dec 1996 A
5586044 Agrawal et al. Dec 1996 A
5587921 Agrawal et al. Dec 1996 A
5588152 Dapp et al. Dec 1996 A
5590345 Barker et al. Dec 1996 A
5590348 Phillips et al. Dec 1996 A
5596742 Agarwal et al. Jan 1997 A
5600265 El Gamal et al. Feb 1997 A
5600845 Gilson Feb 1997 A
5611049 Pitts Mar 1997 A
5617547 Feeney et al. Apr 1997 A
5625806 Kromer Apr 1997 A
5625836 Barker et al. Apr 1997 A
5634131 Matter et al. May 1997 A
5646544 Iadanza Jul 1997 A
5646545 Trimberger et al. Jul 1997 A
5649176 Selvidge et al. Jul 1997 A
5649179 Steenstra et al. Jul 1997 A
5652529 Gould et al. Jul 1997 A
5652894 Hu et al. Jul 1997 A
5655069 Ogawara et al. Aug 1997 A
5655124 Lin Aug 1997 A
5657330 Matsumoto Aug 1997 A
5659797 Zandveld et al. Aug 1997 A
5675743 Mavity Oct 1997 A
5680583 Kuijsten Oct 1997 A
5694602 Smith Dec 1997 A
5713037 Wilkinson et al. Jan 1998 A
5717943 Barker et al. Feb 1998 A
5732209 Vigil et al. Mar 1998 A
5734921 Dapp et al. Mar 1998 A
5737516 Circello et al. Apr 1998 A
5737565 Mayfield Apr 1998 A
5742180 Detton et al. Apr 1998 A
5745734 Craft et al. Apr 1998 A
5748872 Norman May 1998 A
5748979 Trimberger May 1998 A
5752035 Trimberger May 1998 A
5754459 Telikepalli May 1998 A
5754820 Yamagami May 1998 A
5754827 Barbier et al. May 1998 A
5754871 Wilkinson et al. May 1998 A
5760602 Tan Jun 1998 A
5761484 Agarwal et al. Jun 1998 A
5773994 Jones Jun 1998 A
5778439 Trimberger et al. Jul 1998 A
5781756 Hung Jul 1998 A
5784636 Rupp Jul 1998 A
5794059 Barker et al. Aug 1998 A
5794062 Baxter Aug 1998 A
5801547 Kean Sep 1998 A
5801715 Norman Sep 1998 A
5802290 Casselman Sep 1998 A
5815715 Kayhan Sep 1998 A
5815726 Cliff Sep 1998 A
5821774 Veytsman et al. Oct 1998 A
5828229 Cliff et al. Oct 1998 A
5828858 Athanas et al. Oct 1998 A
5831448 Kean Nov 1998 A
5838165 Chatter Nov 1998 A
5841973 Kessler et al. Nov 1998 A
5844422 Trimberger et al. Dec 1998 A
5844888 Markkula, Jr. Dec 1998 A
5848238 Shimomura et al. Dec 1998 A
5854918 Baxter Dec 1998 A
5857097 Henzinger et al. Jan 1999 A
5859544 Norman Jan 1999 A
5860119 Dockser Jan 1999 A
5862403 Kanai et al. Jan 1999 A
5865239 Carr Feb 1999 A
5867691 Shiraishi Feb 1999 A
5867723 Peters et al. Feb 1999 A
5870620 Kadosumi et al. Feb 1999 A
5884075 Hester et al. Mar 1999 A
5887162 Williams et al. Mar 1999 A
5887165 Martel et al. Mar 1999 A
5889533 Lee Mar 1999 A
5889982 Rodgers et al. Mar 1999 A
5892370 Eaton et al. Apr 1999 A
5892961 Trimberger Apr 1999 A
5892962 Cloutier Apr 1999 A
5901279 Davis, III May 1999 A
5915123 Mirsky et al. Jun 1999 A
5924119 Sindhu et al. Jul 1999 A
5926638 Inoue Jul 1999 A
5927423 Wada et al. Jul 1999 A
5933023 Young Aug 1999 A
5933642 Baxter et al. Aug 1999 A
5936424 Young et al. Aug 1999 A
5943242 Vorbach et al. Aug 1999 A
5956518 DeHon et al. Sep 1999 A
5960193 Guttag et al. Sep 1999 A
5960200 Eager et al. Sep 1999 A
5966143 Breternitz, Jr. Oct 1999 A
5966534 Cooke et al. Oct 1999 A
5970254 Cooke et al. Oct 1999 A
5978260 Trimberger et al. Nov 1999 A
5978583 Ekanadham et al. Nov 1999 A
5996083 Gupta et al. Nov 1999 A
5999990 Sharrit et al. Dec 1999 A
6003143 Kim et al. Dec 1999 A
6011407 New Jan 2000 A
6014509 Furtek et al. Jan 2000 A
6020758 Patel et al. Feb 2000 A
6020760 Sample et al. Feb 2000 A
6021490 Vorbach et al. Feb 2000 A
6023564 Trimberger Feb 2000 A
6023742 Ebeling et al. Feb 2000 A
6026481 New et al. Feb 2000 A
6034538 Abramovici Mar 2000 A
6035371 Magloire Mar 2000 A
6038650 Vorbach et al. Mar 2000 A
6038656 Cummings et al. Mar 2000 A
6044030 Zheng et al. Mar 2000 A
6047115 Mohan et al. Apr 2000 A
6049222 Lawman Apr 2000 A
6052773 DeHon et al. Apr 2000 A
6054873 Laramie Apr 2000 A
6058469 Baxter May 2000 A
6076157 Borkenhagen et al. Jun 2000 A
6077315 Greenbaum et al. Jun 2000 A
6081903 Vorbach et al. Jun 2000 A
6084429 Trimberger Jul 2000 A
6085317 Smith Jul 2000 A
6086628 Dave et al. Jul 2000 A
6088795 Vorbach et al. Jul 2000 A
6092174 Roussakov Jul 2000 A
6105105 Trimberger et al. Aug 2000 A
6105106 Manning Aug 2000 A
6108760 Mirsky et al. Aug 2000 A
6119181 Vorbach et al. Sep 2000 A
6122719 Mirsky et al. Sep 2000 A
6125408 McGee et al. Sep 2000 A
6127908 Bozler et al. Oct 2000 A
6131149 Nguyen Oct 2000 A
6134166 Lytle et al. Oct 2000 A
6137307 Iwanczuk et al. Oct 2000 A
6150837 Beal et al. Nov 2000 A
6150839 New et al. Nov 2000 A
6154048 Iwanczuk et al. Nov 2000 A
6154049 New Nov 2000 A
6157214 Marshall Dec 2000 A
6170051 Dowling Jan 2001 B1
6172520 Lawman et al. Jan 2001 B1
6173434 Wirthlin et al. Jan 2001 B1
6185256 Saito et al. Feb 2001 B1
6185731 Maeda et al. Feb 2001 B1
6188240 Nakaya Feb 2001 B1
6188650 Hamada et al. Feb 2001 B1
6198304 Sasaki Mar 2001 B1
6201406 Iwanczuk et al. Mar 2001 B1
6202182 Abramovici et al. Mar 2001 B1
6204687 Schultz et al. Mar 2001 B1
6211697 Lien et al. Apr 2001 B1
6212650 Guccione Apr 2001 B1
6215326 Jefferson et al. Apr 2001 B1
6216223 Revilla et al. Apr 2001 B1
6219833 Solomon et al. Apr 2001 B1
RE37195 Kean May 2001 E
6230307 Davis et al. May 2001 B1
6240502 Panwar et al. May 2001 B1
6243808 Wang Jun 2001 B1
6247147 Beenstra et al. Jun 2001 B1
6252792 Marshall et al. Jun 2001 B1
6256724 Hocevar et al. Jul 2001 B1
6260179 Ohsawa et al. Jul 2001 B1
6262908 Marshall et al. Jul 2001 B1
6263430 Trimberger et al. Jul 2001 B1
6279077 Nasserbakht et al. Aug 2001 B1
6282627 Wong et al. Aug 2001 B1
6282701 Wygodny et al. Aug 2001 B1
6285624 Chen Sep 2001 B1
6286134 Click, Jr. et al. Sep 2001 B1
6288566 Hanrahan et al. Sep 2001 B1
6289440 Casselman Sep 2001 B1
6298472 Phillips et al. Oct 2001 B1
6301706 Maslennikov et al. Oct 2001 B1
6311200 Hanrahan et al. Oct 2001 B1
6311265 Beckerle et al. Oct 2001 B1
6321366 Tseng et al. Nov 2001 B1
6321373 Ekanadham et al. Nov 2001 B1
6338106 Vorbach et al. Jan 2002 B1
6341318 Dakhil Jan 2002 B1
6347346 Taylor Feb 2002 B1
6349346 Hanrahan et al. Feb 2002 B1
6353841 Marshall et al. Mar 2002 B1
6362650 New et al. Mar 2002 B1
6370596 Dakhil Apr 2002 B1
6373779 Pang et al. Apr 2002 B1
6374286 Gee Apr 2002 B1
6378068 Foster et al. Apr 2002 B1
6381624 Colon-Bonet et al. Apr 2002 B1
6389379 Lin et al. May 2002 B1
6389579 Phillips et al. May 2002 B1
6392912 Hanrahan et al. May 2002 B1
6398383 Huang Jun 2002 B1
6400601 Sudo et al. Jun 2002 B1
6404224 Azegami et al. Jun 2002 B1
6405299 Vorbach et al. Jun 2002 B1
6421808 McGeer Jul 2002 B1
6421809 Wuytack et al. Jul 2002 B1
6421817 Mohan et al. Jul 2002 B1
6425054 Nguyen Jul 2002 B1
6425068 Vorbach et al. Jul 2002 B1
6426649 Fu et al. Jul 2002 B1
6427156 Chapman et al. Jul 2002 B1
6430309 Pressman et al. Aug 2002 B1
6434642 Camilleri et al. Aug 2002 B1
6434695 Esfahani et al. Aug 2002 B1
6434699 Jones et al. Aug 2002 B1
6437441 Yamamoto Aug 2002 B1
6438747 Schreiber et al. Aug 2002 B1
6457116 Mirsky et al. Sep 2002 B1
6476634 Bilski Nov 2002 B1
6477643 Vorbach et al. Nov 2002 B1
6480937 Vorbach et al. Nov 2002 B1
6480954 Trimberger et al. Nov 2002 B2
6483343 Faith et al. Nov 2002 B1
6487709 Keller et al. Nov 2002 B1
6490695 Zagorski et al. Dec 2002 B1
6496971 Lesea et al. Dec 2002 B1
6507947 Schreiber et al. Jan 2003 B1
6513077 Vorbach et al. Jan 2003 B2
6516382 Manning Feb 2003 B2
6518787 Allegrucci et al. Feb 2003 B1
6519674 Lam et al. Feb 2003 B1
6523107 Stansfield et al. Feb 2003 B1
6525678 Veenstra et al. Feb 2003 B1
6526520 Vorbach et al. Feb 2003 B1
6538468 Moore Mar 2003 B1
6538470 Langhammer et al. Mar 2003 B1
6539415 Mercs Mar 2003 B1
6539438 Ledzius et al. Mar 2003 B1
6539477 Seawright Mar 2003 B1
6542394 Marshall et al. Apr 2003 B2
6542844 Hanna Apr 2003 B1
6542998 Vorbach et al. Apr 2003 B1
6553395 Marshall et al. Apr 2003 B2
6567834 Marshall et al. May 2003 B1
6571381 Vorbach et al. May 2003 B1
6587939 Takano Jul 2003 B1
6631487 Abramovici et al. Oct 2003 B1
6633181 Rupp Oct 2003 B1
6657457 Hanrahan et al. Dec 2003 B1
6658564 Smith et al. Dec 2003 B1
6665758 Frazier et al. Dec 2003 B1
6687788 Vorbach et al. Feb 2004 B2
6697979 Vorbach et al. Feb 2004 B1
6704816 Burke Mar 2004 B1
6708325 Cooke et al. Mar 2004 B2
6717436 Kress et al. Apr 2004 B2
6721830 Vorbach et al. Apr 2004 B2
6728871 Vorbach Apr 2004 B1
6748440 Lisitsa et al. Jun 2004 B1
6754805 Yujen Jun 2004 B1
6757847 Farkash et al. Jun 2004 B1
6757892 Gokhale et al. Jun 2004 B1
6782445 Olgiati et al. Aug 2004 B1
6785826 Durham et al. Aug 2004 B1
6779016 Patterson et al. Oct 2004 B1
6803787 Wicker, Jr. Oct 2004 B1
6820188 Stansfield et al. Nov 2004 B2
6829697 Davis et al. Dec 2004 B1
6847370 Baldwin et al. Jan 2005 B2
6868476 Rosenbluth et al. Mar 2005 B2
6871341 Shyr Mar 2005 B1
6874108 Abramovici et al. Mar 2005 B1
6886092 Douglass et al. Apr 2005 B1
6901502 Yano et al. May 2005 B2
6928523 Yamada Aug 2005 B2
6961924 Bates et al. Nov 2005 B2
6977649 Baldwin et al. Dec 2005 B1
7000161 Allen et al. Feb 2006 B1
7007096 Lisitsa et al. Feb 2006 B1
7010687 Vorbach et al. Mar 2006 B2
7038952 Zack et al. May 2006 B1
7210129 May et al. Apr 2007 B2
7216204 Rosenbluth et al. May 2007 B2
7237087 Vorbach et al. Jun 2007 B2
7249351 Songer et al. Jul 2007 B1
7254649 Subramanian et al. Aug 2007 B2
7340596 Crosland et al. Mar 2008 B1
7346644 Langhammer et al. Mar 2008 B1
7350178 Crosland et al. Mar 2008 B1
20010001860 Beiu May 2001 A1
20010010074 Nishihara et al. Jul 2001 A1
20010018733 Fujii et al. Aug 2001 A1
20010032305 Barry Oct 2001 A1
20020013861 Adiletta et al. Jan 2002 A1
20020038414 Taylor et al. Mar 2002 A1
20020045952 Blemel Apr 2002 A1
20020083308 Pereira et al. Jun 2002 A1
20020103839 Ozawa Aug 2002 A1
20020124238 Metzgen Sep 2002 A1
20020138716 Master et al. Sep 2002 A1
20020143505 Drusinsky Oct 2002 A1
20020144229 Hanrahan Oct 2002 A1
20020165886 Lam Nov 2002 A1
20030001615 Sueyoshi et al. Jan 2003 A1
20030014743 Cooke et al. Jan 2003 A1
20030046607 Vorbach Mar 2003 A1
20030052711 Taylor et al. Mar 2003 A1
20030055861 Lai et al. Mar 2003 A1
20030056085 Vorbach Mar 2003 A1
20030056091 Greenberg Mar 2003 A1
20030056202 Vorbach Mar 2003 A1
20030061542 Bates et al. Mar 2003 A1
20030062922 Douglass et al. Apr 2003 A1
20030086300 Noyes et al. May 2003 A1
20030093662 Vorbach et al. May 2003 A1
20030097513 Vorbach et al. May 2003 A1
20030123579 Safavi et al. Jul 2003 A1
20030135686 Vorbach et al. Jul 2003 A1
20030192032 Andrade et al. Oct 2003 A1
20040015899 May et al. Jan 2004 A1
20040025005 Vorbach et al. Feb 2004 A1
20040078548 Claydon et al. Apr 2004 A1
20040168099 Vorbach et al. Aug 2004 A1
20040199688 Vorbach et al. Oct 2004 A1
20050066213 Vorbach et al. Mar 2005 A1
20050144210 Simkins et al. Jun 2005 A1
20050144212 Simkins et al. Jun 2005 A1
20050144215 Simkins et al. Jun 2005 A1
20060230094 Simkins et al. Oct 2006 A1
20060230096 Thendean et al. Oct 2006 A1
20090085603 Paul et al. Apr 2009 A1
Foreign Referenced Citations (116)
Number Date Country
42 21 278 Jan 1994 DE
44 16 881 Nov 1994 DE
38 55 673 Nov 1996 DE
196 51 075 Jun 1998 DE
196 54 593 Jul 1998 DE
196 54 595 Jul 1998 DE
196 54 846 Jul 1998 DE
197 04 044 Aug 1998 DE
197 04 728 Aug 1998 DE
197 04 742 Sep 1998 DE
198 22 776 Mar 1999 DE
198 07 872 Aug 1999 DE
198 61 088 Dec 2000 DE
199 26 538 Dec 2000 DE
100 28 397 Dec 2001 DE
100 36 627 Feb 2002 DE
101 29 237 Apr 2002 DE
102 04 044 Aug 2003 DE
0 208 457 Jan 1987 EP
0 221 360 May 1987 EP
0 398 552 Nov 1990 EP
0 428 327 May 1991 EP
0 463 721 Jan 1992 EP
0 477 809 Apr 1992 EP
0 485 690 May 1992 EP
0 497 029 Aug 1992 EP
0 539 595 May 1993 EP
0 628 917 Dec 1994 EP
0 678 985 Oct 1995 EP
0 686 915 Dec 1995 EP
0 707 269 Apr 1996 EP
0 735 685 Oct 1996 EP
0 835 685 Oct 1996 EP
0 746 106 Dec 1996 EP
0 748 051 Dec 1996 EP
0 735 685 Apr 1998 EP
0 726 532 Jul 1998 EP
0 926 594 Jun 1999 EP
1 102 674 Jul 1999 EP
1 061 439 Dec 2000 EP
1 102 674 May 2001 EP
1 115 204 Jul 2001 EP
1 146 432 Oct 2001 EP
0 696 001 Dec 2001 EP
1 669 885 Jun 2006 EP
2 752 466 Feb 1998 FR
2 304 438 Mar 1997 GB
58-58672 Apr 1983 JP
01-229378 Sep 1989 JP
2-130023 May 1990 JP
2-226423 Sep 1990 JP
5-276007 Oct 1993 JP
6-266605 Sep 1994 JP
07-086921 Mar 1995 JP
7-154242 Jun 1995 JP
8-148989 Jun 1995 JP
8-44581 Feb 1996 JP
08069447 Mar 1996 JP
08-101761 Apr 1996 JP
8-102492 Apr 1996 JP
8-221164 Aug 1996 JP
8-250685 Sep 1996 JP
9-27745 Jan 1997 JP
09-294069 Nov 1997 JP
11-307725 Nov 1999 JP
2000-076066 Mar 2000 JP
2000-181566 Jun 2000 JP
2000201066 Jul 2000 JP
2000-311156 Nov 2000 JP
2001-500682 Jan 2001 JP
05-509184 Dec 2003 JP
WO9004835 May 1990 WO
WO9011648 Oct 1990 WO
WO9201987 Feb 1992 WO
WO9311503 Jun 1993 WO
WO9406077 Mar 1994 WO
WO9408399 Apr 1994 WO
WO9500161 Jan 1995 WO
WO9526001 Sep 1995 WO
WO 9810517 Mar 1998 WO
WO9826356 Jun 1998 WO
WO9828697 Jul 1998 WO
WO9829952 Jul 1998 WO
WO9831102 Jul 1998 WO
WO98035294 Aug 1998 WO
WO9835299 Aug 1998 WO
WO9900731 Jan 1999 WO
WO9900739 Jan 1999 WO
WO9912111 Mar 1999 WO
WO9932975 Jul 1999 WO
WO9940522 Aug 1999 WO
WO9944120 Sep 1999 WO
WO9944147 Sep 1999 WO
WO0017771 Mar 2000 WO
WO0038087 May 2000 WO
2000045282 Aug 2000 WO
WO00049496 Aug 2000 WO
WO0077652 Dec 2000 WO
WO0155917 Aug 2001 WO
WO0213000 Feb 2002 WO
WO0221010 Mar 2002 WO
WO0229600 Apr 2002 WO
WO02050665 Jun 2002 WO
WO02071196 Sep 2002 WO
WO0271248 Sep 2002 WO
WO0271249 Sep 2002 WO
WO02103532 Dec 2002 WO
WO0317095 Feb 2003 WO
WO0323616 Mar 2003 WO
WO03025781 Mar 2003 WO
WO0325781 Mar 2003 WO
WO0332975 Apr 2003 WO
WO0336507 May 2003 WO
WO2004053718 Jun 2004 WO
WO2004114128 Dec 2004 WO
WO2005045692 May 2005 WO
Related Publications (1)
Number Date Country
20040243984 A1 Dec 2004 US