PROGRAMMABLE DELAY METHOD FOR HIERARCHICAL SIGNAL BALANCING

Information

  • Patent Application
  • 20040133864
  • Publication Number
    20040133864
  • Date Filed
    January 07, 2003
    21 years ago
  • Date Published
    July 08, 2004
    20 years ago
Abstract
A method for signal balancing across multiple random logic macros. The method inserts a programmable delay element into the design before the last buffer level on all signal paths. The random logic macro is then fully designed including cell placement and wiring. With programmable delay buffers in place, the random logic macros may be used within multiple designs, each having varying signal latency requirements.
Description


BACKGROUND OF THE INVENTION

[0001] 1. Field of the Invention


[0002] This invention relates to signal balancing in integrated circuits. More particularly it relates to signal balancing in system on chip integrated circuits. More specifically, it applies to balancing signal arrival time in IC designs between multiple random logic macros.


[0003] 2. Background of the Invention


[0004] Currently, in order to balance signal arrival times between multiple RLMs, designers manually add buffers and make wiring adjustments by hand to adjust the signal's latency both within each RLM and at the top level of a design. Such a method is undesirable for the following reasons:


[0005] Adding the proper amount of delay buffers and adjusting wire delays greatly increases Turn Around Time (TAT);


[0006] When designing signal paths within each RLM, consideration must be taken to match other RLM's latencies;


[0007] RLM reuse between individual designs is complicated;


[0008] Any modification to a RLM or the top level of a design effects the entire signal path, possibly requiring the signal to be rebalanced, wasting previous manual efforts; and


[0009] Re-balancing a hierarchical clock tree involves discarding previous manual efforts and restarting adjustments.


[0010] Large variations in RLM size/load count result in large signal latency variations within RLMs.


[0011] One possible solution to this problem is to actually implement programmable delay logic into the integrated circuit itself. Once the integrated circuit is manufactured, the delays are calculated and actual delay logic can then be fixed. Of course, this approach has the attendant problems relating to having to design-in additional logic that would otherwise be unnecessary.



BRIEF SUMMARY OF THE INVENTION

[0012] This inventions automates signal balancing across multiple RLMs, thus reducing manual design efforts and decreasing turn-around-time. The method inserts a programmable delay element into the design before the last buffer level on all signal paths. The RLM is then fully designed including cell placement and wiring. With programmable delay buffers in place, the RLM may be used within multiple designs, each having varying signal latency requirements.


[0013] Then in each individual design, the programmable delay elements are automatically adjusted to match signal latency across every path of the specific design. The method adjusts the latency of each signal branch across the entire design without adding or deleting delay cells or rewiring, to fabrication the programmable delay element's functionality is fixed turning them into fixed, unadjustable delay blocks. In doing so the programmable delay elements are used solely as a design aid and are not re-programable post fabrication. Using programmable delay elements to balance signal latency across RLMs is advantageous for the following reasons:


[0014] RLM design is independent both of other RLMs and the current chip;


[0015] Signal latency across each RLM is automatically adjusted in 30 ps steps without (significant) physical modifications;


[0016] Modifications to the top level design or any RLM do not effect placement or wiring in other RLMs;


[0017] Signal arrival times are readjusted automatically by software tools without manual effort;


[0018] Signal balancing may be further refined post wiring using full 3D parasitic extraction data;


[0019] Supports RLMs with a varying number of buffer levels; and


[0020] Maintains tight skew within a single RLM.







BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS

[0021]
FIG. 1 is a block diagram which illustrates in schematic form a programmable delaymux chain.


[0022]
FIG. 2 is a block diagram which illustrates in schematic form a balancing signal's structure.


[0023]
FIG. 3 is a block diagram which illustrates in flow chart form the balancing method of this invention.


[0024]
FIG. 4 is a diagram in schematic form that represents a typical computer system hardware environment for practicing this invention.







DETAILED DESCRIPTION OF THE INVENTION

[0025] Traditionally signal balancing in hierarchical designs is performed by one of two main approaches:


[0026] 1) Designing each RLM to have a Single Common Signal Latency.


[0027] There are two primary drawbacks to designing/planning RLMs to have a common signal latency. First, achieving a specific latency target is difficult and typically requires extensive manual effort increasing design turnaround time. Second, RLM reuse is complicated since every design has varying signal latency requirements.


[0028] 2) Flattening (i.e. Removing all Hierarchy) from the Design in Order to Process a Balanced Signal as a Regular Design.


[0029] Loses all the advantages of the hierarchical design approach including allowing several designers to process separate design components in parallel. The software speed up of processing smaller design segments at a single time is lost. In addition, tight intra-RLM skew is reduced which is one of the most important characteristics of a hierarchical signal.


[0030] In this invention signals are designed and delay balanced using standard techniques with one difference. A programmable delay element is inserted along each signal path from the signal's source to each balanced timing point in both the RLMs and the top level of the design. The employees the programmable delay elements solely as a design aid. Prior to fabrication the programmable inputs to the programmable delay elements are wired to Vdd and GND, fixing their functionality and converting the programmable elements into fixed delay elements where each delay element provides the exact amount of delay required for the current RLM.


[0031] As illustrated in FIG. 1 a programmable delay element consists of the serial chain of delaymux cells 2 and 3 which presumably are available ASIC standard cell library and terminated by an inverter 4. It is important to note the inventive method described herein can use any type of programmable delay element, the delaymux chain used represents just one possible embodiment. The signal delay through the delaymux chain depends on the programmable inputs to the chain 7 and 8. An inverter is inserted following the delaymux chain 5. The inverter servers two purposes: 1) it restores the polarity of the signal and 2) provides the required re-powering to drive the next cell without impacting cell 2. By reprogramming inputs 7 and 8 it is possible to adjust and fine tune the latency of the overall signal path from 1 to 6 without making physical modifications to the design.


[0032] To support RLMs with varying number of buffer levels, the methodology inserts programmable delaymux chains only before the last buffer level of both the top design level and each RLM. Every RLM is tapped into the top design level at a branch appropriate for the number of buffer levels within the RLM. FIG. 2 shows an example of a signal balanced throughout an entire design. RLM 1 contains only one buffer level 7 and is attached to the first buffer stage in the top design level, buffer 5. RLM 2 requires two buffer levels 5 and 7 to re-power the signal and is attached to the second buffer stage, buffer 4. By inserting programmable delay elements 6 and attaching RLMs 1 and 2 at an appropriate buffer level, the signal to be balanced shares a common structure spanning the entire design and each branch is physically identical. For any sink 8 driven, whether the sink exists in RLM 1 or 2 or the top design level 3, the signal is driven by a common number of stages 4, 5 and 7, one programmable delay element 6 and balance wiring 9.


[0033] The common structure serves two purposes: First, since there are an identical number of buffers throughout each signal branch, regardless as to which portions exist in an RLM or the top design level, the signal is naturally balanced within a gross amount of latency, even before adjusting the programmable delay elements. Second, every programmable delay element is inserted at an identical buffer level which provides an automated tool the ability to adjust each branch independently while attempting to globally balance the signal.


[0034] In order to globally balance a signal, placement and balance wiring is performed by employing standard balancing methods identical to a traditional (non-hierarchical) design within each RLM and the top level. Once complete, the sinks of a signal are optimally balanced within each RLM. However since each RLM is processed separately, significant inter-RLM skew will develop due to the variable signal latency of each RLM. To compensate for the latency mismatch and globally balance the signal, automated software tools adjust the delay of each programmable delaymux chain. Since there is one programmable delay chain along each path, every path is ensured to be adjustable. The final result is a globally balanced signal.


[0035] To automatically program the delaymux chains and globally balance the signal, software balancing tools were developed for use within the design environment. The algorithm function as follows. The tool scans the signal throughout all levels of hierarchy from the source to each sink, 4, 5, 6, 7 and 8 in FIG. 2, while storing the number of levels and position of each programmable element 6. Each delaymux chain identified is reset to the minimum latency and the entire signal path is timed. The signal branch with the longest delay is selected as the target latency for every other branch. Next the algorithm identifies the buffer level 6 where every branch of the signal contains a delaymux chain. Each delaymux chain's latency is iteratively increased by the smallest amount possible until the latency matching the target as close as possible is discovered. Lastly the tool asserts an attribute which is used by later tools to both time the design and identify how to program each delaymux cell. Appendix 1 displays a sample balancing run along with program input and output.


[0036] Another advantage of this method is it is now possible to re-balance and fine tune each signal path after a design is fully wired. Normally, a global signal is balanced prior to wiring the design to provide the greatest amount of design freedom. Yet due to 3D adjacency effects, wires inserted post balancing impact the balanced signal and add additional skew. However, since programmable delay elements allow the latency of each signal branch to be adjusted without physical modifications, it is now possible to re-balance the signal after the design is fully wired.


[0037]
FIG. 3 outlines the methodology's steps. First buffers are inserted and placed (102) followed by inserting one delaymux chain for each signal branch (104 and 106). Next in every RLM the signal is balanced wired (108) to independently balance the signal within each RLM and provide good intra-RLM skew. Next software tools automatically globally balance the signal across the entire design (110). At this point the signal is balanced for timing purposes but is not fixed yet. The designer then completes the rest of the design flow and generates full 3D parasitics once the design is completed (120). With full 3D parasitics, the automated software tools are run a final time (122) to provide a final signal balancing. Once the signal is balanced the programmable pins on each delaymux cell are wired to Vdd and GND (124). Doing so locks in the latency of each programmable delaymux chain and converts the programmable delay elements into fixed blocks of delay optimized for each individual signal branch and power nets are routed (126). Once complete the design is passed off for final verifications and checking and sent to manufacturing (128).


[0038] A representative hardware environment for practicing the present invention is depicted in FIG. 4, which illustrates the typical hardware configuration of a computer system capable of performing the signal balancing in accordance with the present invention. The typical environment has at least one processor or central processing unit (CPU) 510. CPUs 510 are interconnected via system bus 520 to a random access memory (RAM) 530, read-only memory (ROM) 540, an input/output (I/O) adapter 560 for connecting peripheral devices such as disk units 570 and tape drives 580 to bus 520, user interface adapter 600 for connecting keyboard 610, mouse 620, speaker 630, microphone 640, and/or other user interface devices such as touch screen device (not shown) to bus 520, communication adapter 650 for connecting the information handling system to a data processing network, and display adapter 660 for connecting bus 520 to display device 670. The design system comprising the design information needed for this invention, libraries, balancing code, simulation and verification software can be loaded on the appropriate disk or tape units or fed either through I/O adapters or the network for processing. A computer program with an appropriate application interface could be created by one of skill in the art and stored on the system to simplify the practicing of this invention.


[0039] It is thus believed that the operation and construction of the present invention will be apparent from the foregoing description. The description of the embodiments of the present invention is given above for the understanding of the present invention. It will be understood that the invention is not to the particular embodiments described herein, but is capable of various modifications, rearrangements and substitutions will now become apparent to those skilled in the art without departing from the scope of the invention. Therefore it is intended that the following claims cover all such modifications and changes as fall within the true spirit and scope of the invention.


Claims
  • 1. A method for balancing signals across hierarchical entities in a design comprising the steps of: inserting programmable delay chains into the hierarchy of a design; and adjusting the programmable delay chains to balance signals in the levels of hierarchy in the design.
  • 2. The method of claim 1 also comprising the step of fixing the delay in the chains after the signals are balanced.
  • 3. The method of claim 1 where the step of inserting programmable delay chain comprises inserting and placing buffers and then inserting one delaymux chain for each signal branch.
  • 4. The method of claim 3 also comprising the step of wire balancing the signals within each RLM.
  • 5. The method of claim 4 wherein the step of adjusting the programmable delay chain also comprises an initial balancing of signals prior to completing a final routing.
  • 6. The method of claim 5 also comprising the step of running a parasitic extraction after completing the final design flow and performing an additional balancing of the design based on the extraction.
  • 7. The method of claim 6 also comprising the step of fixing delay blocks for each signal branch after performing the additional balancing.
  • 8. The method of claim 1 wherein the signal is a clock signal.
  • 9. The method of claim 1 wherein the step of inserting programmable delay chains is performed in such a manner so that the signal to be balanced shares a common structure spanning the entire design.
  • 10. A method for balancing signals across hierarchical entities in a design comprising the steps of: inserting programmable delay chains into the hierarchy of a design; adjusting the programmable delay chains to balance signals in the levels of hierarchy in the design; and fixing the delay in the chains after the signals are balanced.
  • 11. The method of claim 10 wherein the step of adjusting the programmable delay chains comprises an initial balancing prior to completing the design flow.
  • 12. The method of claim 11 also comprising the step of performing a final balancing using data from a 3D extraction.
  • 13. The method of claim 10 wherein the step of inserting programmable delay chains is performed in such a manner so that the signal to be balanced shares a common structure spanning the entire design.
  • 14. A program storage device readable by machine, tangibly embodying a program of instructions executable by the machine to perform method steps for balancing signals across voltage domains in an integrated circuit design, the method comprising the steps of: inserting programmable delay chains into the hierarchy of a design; and adjusting the programmable delay chains to balance signals in the levels of hierarchy in the design.
  • 15. The method of claim 14 also comprising the step of fixing the delay in the chains after the signals are balanced.
  • 16. The method of claim 14 where the step of inserting programmable delay chain comprises inserting and placing buffers and then inserting one delaymux chain for each signal branch.
  • 17. The method of claim 16 also comprising the step of wire balancing the signals within each RLM.
  • 18. The method of claim 17 wherein the step of adjusting the programmable delay chain also comprises an initial balancing of signals prior to completing a final routing.
  • 19. The method of claim 18 also comprising the step of running a parasitic extraction after completing the final design flow and performing an additional balancing of the design based on the extraction.
  • 20. The method of claim 19 also comprising the step of fixing delay blocks for each signal branch after performing the additional balancing.
  • 21. The method of claim 14 wherein the signal is a clock signal.
  • 22. The method of claim 14 wherein the step of inserting programmable delay chains is performed in such a manner so that the signal to be balanced shares a common structure spanning the entire design.
  • 23. A program storage device readable by machine, tangibly embodying a program of instructions executable by the machine to perform method steps for balancing signals across voltage domains in an integrated circuit design, the method comprising the steps of: inserting programmable delay chains into the hierarchy of a design; adjusting the programmable delay chains to balance signals in the levels of hierarchy in the design; and fixing the delay in the chains after the signals are balanced.
  • 24. The method of claim 23 wherein the step of adjusting the programmable delay chains comprises an initial balancing prior to completing the design flow.
  • 25. The method of claim 24 also comprising the step of performing a final balancing using data from a 3D extraction.
  • 26. The method of claim 23 wherein the step of inserting programmable delay chains is performed in such a manner so that the signal to be balanced shares a common structure spanning the entire design.