The electronics industry is experiencing an ever-increasing demand for smaller and faster electronic devices which are simultaneously able to support a greater number of increasingly complex and sophisticated functions. Accordingly, there is a continuing trend in the semiconductor industry to manufacture low-cost, high-performance, and low-power integrated circuits (ICs). So far, these goals have been achieved in large part by scaling down semiconductor IC dimensions (e.g., minimum feature size) and thereby improving production efficiency and lowering associated costs. However, such miniaturization has introduced greater complexity into the semiconductor manufacturing process. Thus, the realization of continued advances in semiconductor ICs and devices calls for similar advances in semiconductor manufacturing processes and technology.
Recently, multi-gate devices have been introduced in an effort to improve gate control by increasing gate-channel coupling, reduce OFF-state current, and reduce short-channel effects (SCEs). One such multi-gate device that has been introduced is the gate-all around transistor (GAA). The GAA device gets its name from the gate structure, which can extend around the channel region and provide access to the channel on two or four sides. GAA devices are compatible with conventional complementary metal-oxide-semiconductor (CMOS) processes, and their structure allows them to be aggressively scaled-down while maintaining gate control and mitigating SCEs. In conventional processes, GAA devices provide a channel in a silicon nanowire. However, integration of fabrication of the GAA features around the nanowire can be challenging. For example, while current methods have been satisfactory in many respects, continued improvements are still needed.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It should be noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the subject matter provided. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Some variations of the embodiments are described. Throughout the various views and illustrative embodiments, like reference numerals are used to designate like elements. It should be understood that additional operations can be provided before, during, and after the method, and some of the operations described can be replaced or eliminated for other embodiments of the method.
The nanostructure transistor (e.g., nanosheet transistor, nanowire transistor, multi-bridge channel, nano-ribbon FET, gate all around (GAA) transistor structures) described below may be patterned by any suitable method. For example, the structures may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, smaller pitches than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used to pattern the GAA structure.
As the feature sizes continue to decrease, SRAM devices have also begun to adopt nanostructure transistor (e.g., GAA FET) solutions to improve cell performance, e.g., cell current, operation voltage (e.g., Vmax, Vmin, etc.), SRAM margin (e.g., write margin and/or read margin) and/or operation speed. The aspect of the present disclosure is directed to forming a semiconductor structure of an SRAM device including nanostructure transistors. The active regions of the semiconductor structure may be formed with jog structure, and thus independent adjustment of the performances of the pull-down transistor (PD) and the pass-gate transistor (PG) formed on the active regions may be achieved. The channel width of the pull-down transistor may be greater than the channel width of the pass-gate transistor. As a result, the pull-down transistor may have a stronger performance than the pass-gate transistor. Therefore, the cell performance of the resulting SRAM cells may be improved, e.g., higher operation voltage (e.g., Vmax), higher cell current, broader read margin metric, and/or faster operation speed.
For a better understanding of the semiconductor structure 100, the X-Y-Z coordinate reference is provided in the figures of the present disclosure. The X-axis and the Y-axis are generally orientated along the lateral (or horizontal) directions that are parallel to the main surface of the substrate 102. The Y-axis is transverse (e.g., substantially perpendicular) to the X-axis. The Z-axis is generally oriented along the vertical direction that is perpendicular to the main surface of the substrate 102 (or the X-Y plane).
The fin structure 104 includes a lower fin element 103 formed from a portion of the substrate and an upper fin element formed from an epitaxial stack of alternating first semiconductor layers 106 and second semiconductor layer 108, in accordance with some embodiments. An isolation structure 110 surrounds the lower fin element 103, in accordance with some embodiments. The second semiconductor layers 108 will form nanostructures (e.g., nanowires or nanosheets) and serve as the channels for the resulting nanostructure transistors, in accordance with some embodiments.
The fin structure 104 extends in X direction, in accordance with some embodiments. That is, the fin structure 104 has a longitudinal axis that is parallel with the X direction, in accordance with some embodiments. The X direction may also be referred to as the channel-extending direction. The current of the resulting semiconductor device (i.e., nanostructure transistor) flows in the X direction through the channel.
The fin structure 104 includes channel regions and source/drain regions, and the channel regions and the source/drain regions are arranged in the X direction in such a way that they alternate, in accordance with some embodiments. In this disclosure, the term “source/drain” refers to a source, a drain, or both. It should be noted that in the present disclosure, the source and drain are used interchangeably, and their structures are substantially the same. Gate structures 112 are formed with longitudinal axes parallel to the Y direction and extending across and/or surrounding the channel regions of the fin structure 104. The Y direction may also be referred to as the gate-extending direction.
In addition, as shown in
In the fabrication of SRAM cells, the cell array may be surrounded by multiple strap cells 20A and multiple edge cells 20B, and the strap cells 20A and the edge cells 20B are dummy cells for the cell array. In some embodiments, the strap cells 20A are arranged to surround the cell array horizontally, and the edge cells 20B are arranged to surround the cell array vertically. The shapes and sizes of the strap cells 20A and the edge cells 20B are determined according to actual application.
In some embodiments, the shapes and sizes of the strap cells 20A and the edge cells 20B are the same as the SRAM cells 10. In some embodiments, the shapes and sizes of the strap cells 20A, the edge cells 20B and the SRAM cells 10 are different. Moreover, in the SRAM 30, each SRAM cell 10 has the same rectangular shape/region, e.g., the widths and heights of the SRAM cells 10 are the same. The configurations of the SRAM cells 10 are described below.
In the cell array of the SRAM 30, although only one group GP is shown in
The pass-gate transistor PG-1 is coupled between a bit line BL and the node N1, and the pass-gate transistor PG-2 is coupled between a complementary bit line BLB and the node N2, and the complementary bit line BLB is complementary to the bit line BL. The gates of the pass-gate transistors PG-1 and PG-2 are coupled to the same word-line WL. The pass-gate transistors PG-1 and PG-2 are NMOS transistors.
Similarly, the inverter Inverter-2 in
In some embodiments, the pass-gate transistors PG-1 and PG-2, the pull-up transistors PU-1 and PU-2, and the pull-down transistors PD-1 and PD-2 of the SRAM cell 10 are nanostructure transistors (such as gate-all-around transistors).
The lower fin elements of the active regions 104 extend in the X direction, and the gate stacks 136 extend in the Y direction across the lower fin elements and wrap around the nanostructures, in accordance with some embodiments. In addition, the gate stacks 136 are cut into several segments electrically and physically isolated from each other, in accordance with some embodiments.
In some embodiments, the transistors within the SRAM cells 10_1, 10_2, 10_3 and 10_4 are nanostructure transistors in the N-type well regions NW and in the P-type well region PW. The N-type well regions NW are alternatively arranged with the P-type well regions PW, in accordance with some embodiments. The active regions 104N_1 to 104N_4 are formed in the N-type well regions NW, and the active regions 104P_1 to 104P_4 are formed in the P-type well regions PW, in accordance with some embodiments. In some embodiments, two active regions 104N or 104P are disposed in one well region PW or NW.
The two adjacent SRAM cells 10_1 and 10_3 are arranged in the same row of the cell array of the SRAM 30. The two adjacent SRAM cells 10_1 and 10_2 are arranged in the same column of the cell array of the SRAM 30. The two adjacent SRAM cells 10_3 and 10_4 are arranged in the same column of the cell array of the SRAM 30. In other words, the two adjacent SRAM cells 10_2 and 10_4 are arranged in the same row of the cell array of the SRAM 30.
In the SRAM cell 10_1, the pass-gate transistor PG-1 is formed at the cross point of the active region 104N_2 and the gate stack 136_1. The pull-down transistor PD-1 is formed at the cross point of the active region 104N_2 and the gate stack 136_2. The pass-gate transistor PG-2 is formed at the cross point of the active region 104N_1 and the gate stack 136_2. The pull-down transistor PD-2 is formed at the cross point of the active region 104N_1 and the gate stack 136_1. Moreover, in the SRAM cell 10_1, the pull-up transistor PU-1 is formed at the cross point of the active region 104P_2 and the gate stack 136_2. The pull-up transistor PU-2 is formed at the cross point of the active region 104P_1 and the gate stack 136_1. In addition, no functional transistors are formed at the cross point of the active regions 104P_1 and the gate stack 136_2 and at the cross point of the active regions 104P_2 and the gate stack 136_1.
Various contact plugs and their corresponding interconnect vias may be employed to electrically connect components in each SRAM cells 10_1 through 10_4.
For example, in the SRAM cell 10_1, a bit line (BL) (not shown) may be electrically connected to the source terminal of the pass-gate transistor PG-1 through a contact plug 150_1, and a complementary bit line (BLB) (not shown) may be electrically connected to the source terminal of the pass-gate transistor PG-2 through a contact plug 150_1. Moreover, a power supply node VDD (not shown) may be electrically connected to the source terminal of the pull-up transistor PU-1 through a contact plug 150_3, and electrically connected to the source terminal of the pull-up transistor PU-2 through a contact plug 150_4. A ground VSS (not shown) may be electrically connected to the source terminal of the pull-down transistor PD-1 through a contact plug 150_5, and electrically connected to the source terminal of the pull-down transistor PD-2 through a contact plug 150_6.
In addition, In the SRAM cell 10_1, the drain terminals of the pull-up transistor PU-1 and the pull-down transistor PD-1 may be electrically connected to each other through a contact plug 150_7, and the drain terminals of the pull-up transistor PU-2 and the pull-down transistor PD-2 may be electrically connected to each other through a contact plug 150_8.
In some embodiments, the SRAM cell 10_2 is a duplicate cell for the SRAM cell 10_1 but flipped over the Y-axis, the SRAM cell 10_3 is a duplicate cell for the SRAM cell 10_1 but flipped over the X-axis, and the SRAM cell 10_4 is a duplicate cell for the SRAM cell 10_3 but flipped over the Y-axis.
The active regions 104N and 104P have jogs, in accordance with some embodiments. These jogs are defined between the neighboring gate stacks 136 and overlaps the contact plugs 150, in accordance with some embodiments. As a result, in some embodiments, the active regions 104 (e.g., 104N_1, 104P_2, 104P_3 and 104N_4) may have boomerang profiles, as shown in
Each of the active regions 104N (e.g., 104N_3) is a semiconductor strip with a protruding portion 104Q, as shown in
In some embodiments, the narrower portions 104A have a dimension D1 in the Y direction in a range from about 6 nm to about 65 nm. In some embodiments, the wider portions 104B have a dimension D2 in the Y direction. The dimension D2 is greater than dimension D1 and is in a range from about 6 nm to about 65 nm. In some embodiments, the gate stacks 136 (
In accordance with some embodiments of the present disclosure, by forming the active regions 104N with jog, independent adjustment of the performances of the n-channel nanostructure transistors (e.g., the pull-down transistors PD-1 and PD-2 and the pass-gate transistors PG-1 and PG-2) may be achieved, which may in turn optimally adjust the cell performance of the resulting SRAM cells, such as the current, operation voltage (Vmax), and/or the read margin metric, in accordance with some embodiments.
Because the channel width (e.g., the dimension D2) of the pull-down transistors PD-1 and PD-2 is greater than the channel width (e.g., the dimension D1) of the pass-gate transistors PG-1 and PG-2, the “beta ratio” of the saturation current (“Idsat”), that is the ratio of PD Idsat to PG Idsat, may increase, for example, be greater than 1, which may enhance the cell performance of the resulting SRAM cells, e.g., higher operation voltage (e.g., Vmax), higher cell current, broader read margin metric, and/or faster operation speed.
In some embodiments, the ratio (D2/D1) of the dimension D2 to the dimension D1 is in range from about 1.02 to about 3. If the ratio is too small (e.g., smaller than 1.02), the beta ratio may increase too little, the cell performance of the resulting SRAM may not significantly increase. If the ratio is too large (e.g., greater than 3), the cell performance (e.g., Vmax) of the resulting SRAM cells may decrease instead. In some embodiments, the ratio (D2/D1) is in range from about 1.02 to about 2.5. If the ratio is too large (e.g., greater than 2.5), the read margin metric may be overly compressed, so that the resulting SRAM cells may not have a good read/write margin balance.
In some embodiments where the active region is formed by one patterning process, the jog of the active region may occupy a certain distance in the X direction to convert the profile change of the active region. As a result, in some embodiments, the ratio (D2/D1) is in range from about 1.02 to about 2. If the ratio is too large (e.g., greater than 2), the jog transition may be too long, such that the active region may have an undesirable profile. In some embodiments where SRAM cells have a very small cell height (e.g., high-density SRAM cells), the ratio (D2/D1) is in range from about 1.02 to about 1.5.
In In some embodiments, the distance D3 between the narrower portions 104A of neighboring active regions 104N (e.g., 104N_2 and 104N_3) is substantially equal to the distance D4 between the wider portions 104B of neighboring active regions 104N (e.g., 104N_2 and 104N_3), as shown in
In accordance with the embodiments of the present disclosure, because the distance D4 remains substantially equal to the distance D3, there may be no increased risk of merging between the N-type source/drain features of the neighboring pull-down transistors PD-1 and PD-2.
The sidewall S1 of the narrower portion 104A extending in the X direction is connected to the sidewall S2 of the wider portion 104B extending in the X direction through a connecting wall S3, in accordance with some embodiments. The connecting wall S3 extends a distance D5 (i.e., the dimension of the protruding portion 104Q) in the Y direction, as shown in
Each active region 104N (e.g., 104N_3) has a sidewall S4 facing neighboring active region 104N (e.g., 104N_2), in accordance with some embodiments. In some embodiments, the sidewall S4 extends continuously in the X direction and has no jog.
Each of the active regions 104P includes a first portion 104C and a second portion 104D offset from the first portion 104C, as shown in
In some embodiments, the first portions 104C and the second portion 104D have the same dimension D6 in the Y direction. In some embodiment where the SRAM of
In some embodiments, the distance D7 between the narrower portion 104A of the active region 104N and the first portion 104C of the active region 104P is substantially equal to the distance D8 between the wider portion 104B of the active region 104N and the second portion 104D of the active region 104P. In some embodiments, the distances D7 and D8 are in a range from about 20 nm to about 60 nm. The ratio of the distance D7 to the gate length in a range from about 2 to about 5. The ratio of the distance D8 to the gate length in a range from about 2 to about 5.
In accordance with the embodiments of the present disclosure, because the distance D8 remains substantially equal to the distance D7, there may be no increased risk of merging between the N-type source/drain feature of the pull-down transistors PD-1 or PD-2 and the P-type source/drain feature of the neighboring pull-up transistors PU-1 or PU-2.
The sidewall S5 of the first portion 104C extending in the X direction is connected to the sidewall S6 of the second portion 104D extending in the X direction through a connecting wall S7, in accordance with some embodiments. The connecting wall S7 extends a distance D9 in the Y direction, as shown in
In some embodiments, the distance D10 between the neighboring active regions 104P (e.g., 104P_3 and 104P_4) is equal to or greater than the distance D8 between the wider portion 104B of the active region 104N and the second portion 104D of the active region 104P.
Referring back to
A substrate 102 is provided, as shown in
N-type well regions NW and P-type well regions PW are formed in the substrate 102, as shown in
Active regions 104 (including 104N and 104P) are formed over the substrate 102, as shown in
The formation of the 104N and 104P includes forming an epitaxial stack over the substrate 102 using an epitaxial growth process, in accordance with some embodiments. The epitaxial stack includes alternating first semiconductor layers 106 and second semiconductor layers 108, in accordance with some embodiments. The epitaxial growth process may be molecular beam epitaxy (MBE), metal organic chemical vapor deposition (MOCVD), or vapor phase epitaxy (VPE), or another suitable technique.
In some embodiments, the first semiconductor layers 106 are made of a first semiconductor material and the second semiconductor layers 108 are made of a second semiconductor material. The first semiconductor material for the first semiconductor layers 106 has a different lattice constant than the second semiconductor material for the second semiconductor layers 108, in accordance with some embodiments. In some embodiments, the first semiconductor material and the second semiconductor material have different oxidation rates and/or etching selectivity. In some embodiments, the first semiconductor layers 106 are made of SiGe, where the percentage of germanium (Ge) in the SiGe is in a range from about 20 atomic % to about 50 atomic %, and the second semiconductor layers 108 are made of pure or substantially pure silicon. In some embodiments, the first semiconductor layers 106 are Si1-xGex, where x is more than about 0.3, or Ge (x=1.0) and the second semiconductor layers 108 are Si or Si1-yGey, where y is less than about 0.4, and x>y.
The first semiconductor layers 106 are configured as sacrificial layers and will be removed to form gaps to accommodate gate materials, and the second semiconductor layers 108 will form nanostructures (e.g., nanowires or nanosheets) that laterally extend between source/drain features and serve as the channel for the resulting semiconductor device (such as a nanostructure transistor), in accordance with some embodiments.
In some embodiments, the thickness of each of the first semiconductor layers 106 is in a range from about 3 nm to about 20 nm, such as about 4 nm to about 12 nm. In some embodiments, the thickness of each of the second semiconductor layers 108 is in a range from about 2 nm to about 20 nm, such as about 2 nm to about 10 nm. Although three first semiconductor layers 106 and three second semiconductor layers 108 are shown in
The epitaxial stack including the first semiconductor layers 106 and the second semiconductor layers 108 and underlying well regions NW and PW are patterned into the active regions 104N and 104P, in accordance with some embodiments. In some embodiments, the patterning process includes forming a patterned hard mask layer (not shown) over the epitaxial stack using a photolithography process. An etching process is then performed to remove portions of the epitaxial stack and well regions NW and PW uncovered by the patterned hard mask layer, thereby forming trenches and the active regions 104N and 104P protruding from between the trenches, in accordance with some embodiments. The etching process may be an anisotropic etching process, e.g., dry plasma etching.
The portion of the substrate 102 protruding from between the trenches forms lower fin elements 103 of the active regions 104N and 104P, in accordance with some embodiments. A remainder of the epitaxial stack (including the first semiconductor layers 106 and the second semiconductor layers 108) forms the upper fin elements of the active regions 104N and 104P over the respective lower fin elements 103, in accordance with some embodiments.
In some other embodiments, by more than one patterning processes, the active regions 104 may be formed to have jogs without transition zones, having substantially the same profile as the active regions 104 of the layout as shown in
A cutting process is performed on the active regions 104P (e.g., 104P_3 and 104P_4) to cut the active regions 104P into several segments that are isolated from each other, as shown in
An isolation structure 110 is formed to surround the lower fin elements 103 of the active regions 104N and 104P, as shown in
The formation of the isolation structure 110 includes forming an insulating material to overfill the trenches, in accordance with some embodiments. In some embodiments, the insulating material is made of silicon oxide, silicon nitride, silicon oxynitride (SiON), another suitable insulating material, multilayers thereof, and/or a combination thereof. In some embodiments, the insulating material is deposited using includes CVD (such as low-pressure CVD (LPCVD), plasma-enhanced CVD (PECVD), high density plasma CVD (HDP-CVD), high aspect ratio process (HARP), or flowable CVD (FCVD)), atomic layer deposition (ALD), another suitable technique, and/or a combination thereof.
A planarization process is performed on the insulating material to remove the insulating material from the tops of the active regions 104N and 104P, in accordance with some embodiments. The planarization may be chemical mechanical polishing (CMP), etching back process, or a combination thereof. The insulating material is then recessed by an etching process (such as dry plasma etching and/or wet chemical etching) until the upper fin elements of the active regions 104N and 104P are exposed, in accordance with some embodiments.
Dummy gate structures 112 (including 112_1 to 112_4) are formed over the semiconductor structure 100_1, as shown in
In some embodiments, the connecting walls S3 and S7 are located between the adjacent dummy gate structures 112, as shown in
Each of the dummy gate structures 112 includes a dummy gate dielectric layer 114 and a dummy gate electrode layer 116 formed over the dummy gate dielectric layer 114, as shown in
In some embodiments, the dummy gate electrode layer 116 is made of semiconductor material such as polysilicon, poly-silicon germanium. In some embodiments, the dummy gate electrode layer 116 is made of a conductive material such as metallic nitrides, metallic silicides, metals, and/or a combination thereof. In some embodiments, the material for the dummy gate electrode layer 116 is formed using CVD, another suitable technique, and/or a combination thereof.
In some embodiments, the formation of the dummy gate structures 112 includes globally and conformally depositing a dielectric material for the dummy gate dielectric layer 114 over the semiconductor structure 100_1, depositing a material for the dummy gate electrode layer 116 over the dielectric material, planarizing the material for the dummy gate electrode layer 116, and patterning the dielectric material and the material for the dummy gate electrode layer 116 into the dummy gate structures 112. The patterning process includes forming a patterned hard mask layer (not shown) over the material for the dummy gate electrode layer 116 to cover the channel regions of the active regions 104N and 104P, in accordance with some embodiments. The material for the dummy gate electrode layer 116 and dielectric material, uncovered by the patterned hard mask layer, is etched away until the source/drain regions of the active regions 104N and 104P are exposed, in accordance with some embodiments.
The gate spacer layers 118 are formed on the opposite sides of the dummy gate structures 112, and fin spacer layers 120 are formed along opposite sidewalls of the active regions 104, as shown in
In some embodiments, the gate spacer layers 118 and the fin spacer layers 120 are made of a silicon-containing dielectric material, such as silicon oxide (SiO2), silicon nitride (SiN), silicon carbide (SiC), silicon oxynitride (SiON), silicon carbon nitride (SiCN), silicon oxycarbonitride (SiOCN), and/or oxygen-doped silicon carbonitride (Si(O)CN). In some embodiments, the formation of the gate spacer layers 118 and the fin spacer layers 120 includes globally and conformally depositing a dielectric material over the semiconductor structure 100_1 using ALD, CVD (such as LPCVD, PECVD, HDP-CVD or HARP), another suitable method, and/or a combination thereof, followed by an anisotropic etching process.
The vertical portions of the dielectric material left remaining on the opposite sides of the dummy gate structures 112 serve as the gate spacer layers 118, in accordance with some embodiments. The vertical portions of the dielectric material left remaining on the opposite sides of the active regions 104N and 104P serve as fin spacer layers 120, in accordance with some embodiments.
Source/drain features 122N and 122P are formed in and/or over the source/drain regions of the active regions 104N and 104P, as shown in
Afterward, an etching process is performed to laterally recess, from the source/drain recesses, the first semiconductor layers 106 of the active regions 104N and 104P thereby forming notches, and then inner spacer layers 124 are formed in the notches, as shown in
The inner spacer layers 124 may avoid the source/drain features and the gate stack from being in direct contact and are configured to reduce the parasitic capacitance between the gate stack and the source/drain features (i.e., Cgs and Cgd), in accordance with some embodiments. In some embodiments, the inner spacer layers 124 are made of dielectric material, such as silicon oxide (SiO2), silicon nitride (SiN), silicon carbide (SiC), silicon oxynitride (SiON), silicon carbon nitride (SiCN), silicon oxycarbonitride (SiOCN), and/or oxygen-doped silicon carbonitride (Si(O)CN).
In some embodiments, the inner spacer layers 124 are formed by depositing a dielectric material for the inner spacer layers 124 over the semiconductor structure 100_1 to fill the notches, and then etching back the dielectric material to remove the dielectric material outside the notches. Portions of the dielectric material left in the notches serve as the inner spacer layers 124, in accordance with some embodiments. In some embodiments, the deposition process includes ALD, CVD (such as PECVD, LPCVD or HARP), another suitable technique, or a combination thereof. In some embodiments, the etching back process includes an anisotropic etching process such as dry plasma etching, an isotropic etching process such as dry chemical etching, remote plasma etching or wet chemical etching, or a combination thereof.
Semiconductor isolation features 126 are formed in the source/drain recesses over the lower fin elements 103, as shown in
Dielectric isolation features 128 are formed over the semiconductor isolation features 126 in the source/drain recesses, as shown in
In some embodiments, the dielectric isolation features 128 are made of a dielectric material, such as silicon oxide (SiO2), silicon nitride (SiN), silicon carbide (SiC), silicon oxynitride (SiON), silicon carbon nitride (SiCN), silicon oxycarbonitride (SiOCN), and/or oxygen-doped silicon carbonitride (Si(O)CN), or high-k dielectric material (e.g., with dielectric constant greater than about 7.9) such as LaO, AlO, AlON, ZrO, HfO, ZnO, ZrN, ZrAlO, TiO, TaO, YO, TaCN, or a combination thereof. In some embodiments, the dielectric isolation features 128 are deposited using a technique such as ALD, CVD (such as HDP-CVD, LPCVD or PECVD), another suitable technique, or a combination thereof, followed by an etching-back process.
Source/drain features 122N and 122P are formed over the dielectric isolation features 128 in the source/drain recesses, as shown in
In some embodiments, the source/drain features 122N and the source/drain features 122P may be formed separately. For example, a patterned mask layer (such as a photoresist layer and/or a hard mask layer) may be formed to cover the semiconductor structure 100_1 over the N-type well regions NW, and then the source/drain features 122N are grown. Afterward, the patterned mask layer may be removed. Similarly, a patterned mask layer (such as a photoresist layer and/or a hard mask layer) is formed to cover the semiconductor structure 100_1 over the P-type well regions PW, and then the source/drain features 122P are grown. Afterward, the patterned mask layer may be removed.
In some embodiments, the source/drain features 122N and 122P are in-situ doped during the epitaxial processes. In some embodiments, the source/drain features 122N are doped with the n-type dopant during the epitaxial growth process. For example, the n-type dopant may be phosphorous (P) or arsenic (As). For example, the n-type source/drain features 122N may be the epitaxially grown silicon phosphorous (SiP), silicon carbon (SiC), silicon phosphorous carbon (SiPC), silicon phosphorous arsenic (SiPAs), silicon arsenic (SiAs), silicon (Si), or a combination thereof doped with phosphorous and/or arsenic. In some embodiments, the concentrations of the dopant (e.g., P) in the source/drain features 122N are in a range from about 2×1019 cm−3 to about 3×1021 cm−3.
In some embodiments, the source/drain features 122P are doped with the p-type dopant during the epitaxial growth process. For example, the p-type dopant may be boron (B) or BF2. For example, the p-type source/drain features 122P may be the epitaxially grown silicon germanium (SiGe), silicon germanium carbon (SiGeC), germanium (Ge), silicon (P), or a combination thereof doped with boron (B). In some embodiments, the concentrations of the dopant (e.g., B) in the source/drain features 122P are in a range from about 1×1019 cm−3 to about 6×1020 cm−3. In some embodiments, the n-type source/drain features 122N and the p-type source/drain features 122P are made of different epitaxial materials. For example, the n-type source/drain features 122N are made of SiP, and the p-type source/drain features 122P are made of SiGe.
A contact etching stop layer 132 is formed the semiconductor structure 100_1 to cover the source/drain features 122N and 122P, as shown in
Afterward, a first interlayer dielectric layer 134 is formed over the contact etching stop layer 132 to fill spaces between the dummy gate structures 112, as shown in
In some embodiments, the first interlayer dielectric layer 134 and the contact etching stop layer 132 are made of different materials and have a great difference in etching selectivity. In some embodiments, the dielectric material for the first interlayer dielectric layer 134 is deposited using such as CVD (such as HDP-CVD, PECVD, HARP or FCVD), another suitable technique, and/or a combination thereof. The dielectric materials for the contact etching stop layer 132 and the first interlayer dielectric layer 134 above the dummy gate electrode layer 116 are removed using such as CMP until the top surface of the dummy gate electrode layer 116 is exposed, in accordance with some embodiments.
The dummy gate structures 116 are removed using one or more etching processes to form gate trenches, in accordance with some embodiments. The gate trenches expose the channel regions of the active regions 104N and 104P, in accordance with some embodiments. In some embodiments, the gate trenches also expose the inner sidewalls of the gate spacer layers 118 facing the channel region, in accordance with some embodiments.
The first semiconductor layers 106 of the active regions 104N and 104P are removed using an etching process to form gaps, in accordance with some embodiments. The inner spacer layers 124 may be used as an etching stop layer in the etching process, which may protect the source/drain features 122N and 122P from being damaged. In some embodiments, the gaps also expose the inner sidewalls of the inner spacer layers 124 facing the channel region. The etching processes for removing dummy gate structures 116 and the first semiconductor layers 106 may be an anisotropic etching process such as dry plasma etching, an isotropic etching process such as dry chemical etching, remote plasma etching or wet chemical etching, and/or a combination thereof.
After the etching processes, the main surfaces of the second semiconductor layers 108 are exposed, in accordance with some embodiments. The exposed second semiconductor layers 108 of the active regions 104N and 104P form sets of nanostructures 108 that function as channel layers of the resulting semiconductor devices (e.g., nanostructure transistors such as GAA FETs), in accordance with some embodiments.
Final gate stacks 136 (including 136_1 to 136_4) are formed in the gate trenches and gaps, thereby wrapping around the nanostructures 108, as shown in
In some embodiments, the interfacial layer 138 is made of a chemically formed silicon oxide. In some embodiments, the interfacial layer 138 is formed using one or more cleaning processes such as including ozone (O3), ammonia hydroxide-hydrogen peroxide-water mixture, and/or hydrochloric acid-hydrogen peroxide-water mixture. Semiconductor material from the nanostructures 108 and the lower fin elements 103 is oxidized to form the interfacial layer 138, in accordance with some embodiments.
The gate dielectric layer 140 is formed conformally along the interfacial layer 138, the upper surface of the isolation structure 110, the sidewalls of the gate spacer layers 118, and the sidewalls of the inner spacer layers 124, in accordance with some embodiments. The gate dielectric layer 140 is made of a high-k dielectric layer. In some embodiments, the high-k dielectric layer is dielectric material with high dielectric constant (k value), for example, greater than 7.9, such as greater than 13. In some embodiments, the high-k dielectric layer includes hafnium oxide (HfO2), TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, LaO, Al2O3, ZrO, TiO, Ta2O5, Y2O3, SrTiO3 (STO), BaTiO3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba, Sr)TiO3 (BST), Si3N4, oxynitrides (SiON), a combination thereof, or another suitable material. The high-k dielectric layer may be deposited using ALD, PVD, CVD, and/or another suitable technique.
The metal gate electrode layers 142N are formed over the P-type well regions PW, and the metal gate electrode layers 142P are formed over the N-type well regions NW, in accordance with some embodiments. In some embodiments, the metal gate electrode layers 142N and 142P are made of more than one conductive material, such as a metal, metal alloy, conductive metal oxide and/or metal nitride, another suitable conductive material, and/or a combination thereof. For example, the metal gate electrode layers 142N and 142P may be made of Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, WN, Cu, W, Re, Ir, Co, Ni, another suitable conductive material, or multilayers thereof.
The metal gate electrode layers 142N and 142P may be a multi-layer structure with various combinations of a diffusion barrier layer, work function layers with a selected work function to enhance the device performance (e.g., threshold voltage) for n-channel FETs and p-channel FETs, a capping layer to prevent oxidation of work function layers, a glue layer to adhere work function layers to the next layer, and a metal fill layer to reduce the total resistance of gate stacks, and/or another suitable layer. The metal gate electrode layers 142N and 142P may be formed using ALD, PVD, CVD, e-beam evaporation, or another suitable technique. The metal gate electrode layers 142N and 142P may be formed separately for n-channel FETs and p-channel FETs, which may use different work function materials. In alternative embodiments, the conductive material for the metal gate electrode layers 142N is the same as the conductive material for the metal gate electrode 142P.
A planarization process such as CMP may be performed on the semiconductor structure 100_1 to remove the materials of the gate dielectric layer 140 and the metal gate electrode layer 142N and 142P formed above the first interlayer dielectric layer 134, in accordance with some embodiments. The final gate stacks 136 that are wrapped around the nanostructures 108 combine with the neighboring source/drain features 122N or 122P to form nanostructure transistors.
The transistors formed on the nanostructures 108 (of the active regions 104N) in the P-type well regions PW are the n-channel nanostructure transistors, e.g., pull-down transistors PD-1 and PD-2 and pass-gate transistors PG-1 and PG-2, in accordance with some embodiments. The transistors formed on the nanostructures 108 (of the active regions 104P) in the N-type well regions NW are the p-channel nanostructure transistors, e.g., pull-up transistors PU-1 and PU-2, in accordance with some embodiments.
The nanostructures 108 of the pass-gate transistors PG-1 and PG-2 have a dimension D1 in the Y direction, which is substantially equal to the dimension DI of the narrower portions 104A of the active regions 104N, in accordance with some embodiments. The nanostructures 108 of the pull-down transistors PD-1 and PD-2 have a dimension D2 in the Y direction, which is substantially equal to the dimension D2 of the wider portions 104B of the active regions 104N, in accordance with some embodiments. The dimension D2 is greater than the dimension DI by the distance D5. The nanostructures 108 of the pull-up transistors PU-1 and PU-2 have a dimension D6 in the Y direction, which is substantially equal to the dimension D6 of the second portions 104D of the active regions 104P, in accordance with some embodiments.
In accordance with some embodiments of the present disclosure, because the nanostructures 108 of the pull-down transistors PD-1 and PD-2 are wider than the nanostructures 108 of the pass-gate transistors PG-1 and PG-2, the beta ratio of the saturation current may increase, which may enhance cell performance of the resulting SRAM cells, e.g., higher operation voltage (Vmax) and higher cell current, broader read margin metric, and/or faster operation speed.
Gate isolation structures 144 are formed in and/or through the final gate stacks 136, the gate spacer layers 118, the first interlayer dielectric layer 134 and the contact etching stop layer 132, as shown in
The portions of the gate isolation structure 144 through the gate stack 136 extend to a deeper position than the portions of the gate isolation structures 144 through the first interlayer dielectric layer 134, as shown in
The gate isolation structures 144 are made of a dielectric material such as silicon nitride (SiN), silicon oxynitride (SiON), silicon carbon nitride (SiCN), silicon oxycarbonitride (SiOCN), oxygen-doped silicon carbonitride (Si(O)CN), silicon oxide (SiO2), or high-k dielectric material such as LaO, AlO, AlON, ZrO, HfO, ZnO, ZrN, ZrAlO, TiO, TaO, YO, TaCN, or a combination thereof.
The formation of the gate isolation structures 144 includes patterning the semiconductor structures 100_1 to form gate-cut openings (where the gate isolation structures 144 are to be formed) using photolithography and etching processes. The etch processes may include dry etching such as reactive ion etch (RIE), neutral beam etch (NBE), inductive coupled plasma (ICP) etch, capacitively coupled plasma (CCP) etch, another suitable method, or a combination thereof. The formation of the gate isolation structures 144 further includes depositing a dielectric material for the gate isolation structures 144 to overfill the gate-cut openings, in accordance with some embodiments. In some embodiments, the deposition process is ALD, CVD (such as LPCVD, PECVD, HDP-CVD, or HARP), another suitable technique, or a combination thereof. Afterward, a planarization process is performed on the dielectric material for the gate isolation structures 144 until the first interlayer dielectric layer 134 is exposed, in accordance with some embodiments. The planarization may be CMP, etching back process, or a combination thereof.
An etching stop layer 146 and a second interlayer dielectric layer 148 are sequentially formed over the semiconductor structure 100_1, as shown in
The contact plugs 150 are formed through the second interlayer dielectric layer 148, the etching stop layer 146, the first interlayer dielectric layer 134 and the contact etching stop layer 132, in accordance with some embodiments. The contact plugs 150 land on the source/drain features 122N/122P, in accordance with some embodiments. In some embodiments, the formation of the contact plugs 150 includes patterning the semiconductor structure 100_1 to form contact openings (where the contact plugs 150 are to be formed) using photolithography and etching processes until the source/drain features 122N/122P are exposed. The etch process may include dry etching such as RIE, NBE, ICP etch, CCP etch, another suitable method, or a combination thereof.
Silicide layers 152 are formed on the exposed surfaces of the source/drain features 122N and 122P, in accordance with some embodiments. In some embodiments, the silicide layers 152 are made of WSi, NiSi, TiSi and/or CoSi. In some embodiments, the formation of the silicide layers 152 includes depositing a metal material followed by one or more annealing processes. The semiconductive material (e.g., Si) from the source/drain features 122N and 122P reacts with the metal material to form the silicide layers 152, in accordance with some embodiments. Unreacted metal material is then removed, e.g., using wet etching.
Contact liners 154 are formed along the sidewalls of the contact openings using a deposition process and an etching back process, in accordance with some embodiments. In some embodiments, the contact liners 154 are made of an insulating material such as a dielectric material (e.g., SiC, LaO, AlO, AlON, ZrO, HfO, SiN, ZnO, ZrN, ZrAlO, TiO, TaO, YO, TaCN, ZrSi, SiOCN, SiOC, SiCN, SiN, HfSi, or SiO); or undoped silicon (Si).
Afterward, one or more conductive materials for the contact plugs 150 are deposited to overfill the contact openings, in accordance with some embodiments. In some embodiments, one or more conductive materials are deposited using CVD, PVD, e-beam evaporation, ALD, ECP, ELD, another suitable method, or a combination thereof to overfill the contact openings. The one or more conductive materials over the second interlayer dielectric layer 148 are planarized using, for example, CMP.
The contact plugs 150 may have a multilayer structure. For example, a barrier/adhesive layer (not shown) may optionally be deposited along the sidewalls and the bottom surfaces of the contact openings. The barrier/adhesive layer may be made of tantalum (Ta), tantalum nitride (TaN), titanium (Ti), titanium nitride (TiN), cobalt tungsten (CoW), another suitable material, or a combination thereof. A metal bulk layer is then deposited on the barrier/adhesive layer (if formed) to fill the remainder of the contact openings. In some embodiments, the metal bulk layer is made of one or more conductive materials with low resistance and good gap-fill ability, for example, cobalt (Co), nickel (Ni), tungsten (W), titanium (Ti), tantalum (Ta), copper (Cu), rhodium (Rh), iridium (Ir), platinum (Pt), aluminum (Al), ruthenium (Ru), molybdenum (Mo), another suitable metal material, or a combination thereof.
An etching stop layer 156 and a third interlayer dielectric layer 158 are sequentially formed over the semiconductor structure 100_1, as shown in
Vias 160 are formed to land on the contact plugs 150 and the gate stacks 136, as shown in
In some embodiments, the formation of the vias 160 includes patterning the semiconductor structure 100_1 to form via openings (where the vias 160 are to be formed) using photolithography and etching processes. The etching process may include dry etching such as RIE, NBE, ICP etch, CCP etch, another suitable method, or a combination thereof. Afterward, one or more conductive materials are deposited using CVD, PVD, e-beam evaporation, ALD, ECP, ELD, another suitable method, or a combination thereof to overfill the via openings, in accordance with some embodiments. The one or more conductive materials over the upper surface of the second interlayer dielectric layer 152 are planarized using, for example, CMP.
The vias 160 may have a multilayer structure. For example, a barrier/adhesive layer (not shown) may optionally be deposited along the sidewalls and the bottom surfaces of the via openings. The barrier layer may be made of Ta, TaN, Ti, TiN, CoW, another suitable material, or a combination thereof. A metal bulk layer is then deposited on the barrier/adhesive layer (if formed) to fill the remainder of the via openings. In some embodiments, the metal bulk layer is made of one or more conductive materials, such as Co, Ni, W, Ti, Ta, Cu, Rh, Ir, Pt, Al, Ru, Mo, or a combination thereof.
The semiconductor structure 100_1 may undergo further frontside BEOL processes to form various interconnection conductive features (not shown) over the semiconductor structure 100_1, such as metal layers and vias between neighboring two metal layers. In accordance with the embodiments of the present disclosure, without increasing the cell height of the SRAM cell, by forming the active regions 104N and 104P with jogs, the channel width of the pull-down transistor is increased, thereby enhancing the cell performance of the resulting SRAM cells while preventing the increase in the risk of source/drain merging.
Due to the characteristics of the photolithography and etching processes, the transition zones TR of the active region 104N may have various dimensions. For example, in some embodiments, the transition zones TR of the active regions 104N are confined between the gate spacer layers 118, and do not overlap the gate spacer layers 118, as shown in
The formation of the active regions 104N and 104P may be performed using two patterning processes, in accordance with some embodiments. In some embodiments, a first patterning process (including photolithography and etching processes) is performed on the epitaxial stack (including the first semiconductor layers 106 and the second semiconductor layers 108) and underlying well regions NW and PW to form the active regions 104N and 104P, in accordance with some embodiments. As shown in
A second patterning process (including photolithography and etching processes) is then performed on the active regions 104N and 104P to partially cut off the active regions 104N and 104P, so that jogs are formed on the sides of the active regions 104N and 104P, as shown in
As shown in
The jogs of the active regions 104N may overlap (over are directly under) the gate stacks 136 of the pull-down transistors PD-1 and PD-2, and the jogs of the active regions 104P may overlap (over are directly under) the gate stacks 136 of the pull-up transistors PU-1 and PU-2, as shown in
In some embodiments, the nanostructures 108 of the pull-down transistors PD-1 and PD-2 have jogs on the side facing the pull-up transistors PU-1 and PU-2, as shown in
The jogs of the active regions 104N may overlap (over are directly under) the gate stacks 136 of the pass-gate transistors PG-1 and PG-2, and the active regions 104P have no jogs, as shown in
In some embodiments, the nanostructures 108 of the pass-gate transistors PG-1 and PG-2 have jogs on the side facing the pull-up transistors PU-1 and PU-2, as shown in
In some embodiments where the risk of merging between the N-type source/drain features of the neighboring pull-down transistors PD-1 and PD-2 is relatively low, each of the active regions 104N includes protruding portions 104Q and 104Q′, as shown in
In some embodiments, the distance D3 between the narrower portions 104A of neighboring active regions 104N is greater as the distance D4 between the wider portions 104B of neighboring active regions 104N, as shown in
In some embodiments, the distance D7 between the narrower portion 104A of the active region 104N and the first portion 104C of the active region 104P is substantially equal to the distance D8 between the wider portion 104B of the active region 104N and the second portion 104D of the active region 104P.
The lower fin elements 103 of the active regions 104N and 104P may have substantially the same profile as the active regions 104N and 104P of the layout shown in
In some embodiments where the risk of merging between the N-type source/drain feature of the pull-down transistors PD-1 or PD-2 and the P-type source/drain feature of the neighboring pull-up transistors PU-1 or PU-2 is relatively low, the active regions 104P are semiconductor strips without jogs. In some embodiments, the distance D7 between the narrower portion 104A of the active region 104N and the first portion 104C of the active region 104P is greater than the distance D8 between the wider portion 104B of the active region 104N and the second portion 104D of the active region 104P.
The lower fin elements 103 of the active regions 104N and 104P may have substantially the same profile as the active regions 104N and 104P of the layout shown in
In some embodiments where the risk of merging between the source/drain features is relatively low, the distance D3 between the narrower portions 104A of neighboring active regions 104N is greater as the distance D4 between the wider portions 104B of neighboring active regions 104N, and the distance D7 between the narrower portion 104A of the active region 104N and the first portion 104C of the active region 104P is greater than the distance D8 between the wider portion 104B of the active region 104N and the second portion 104D of the active region 104P.
The lower fin elements 103 of the active regions 104N and 104P may have substantially the same profile as the active regions 104N and 104P of the layout shown in
In some embodiments, the dimension D6 of the active regions 104P (or the nanostructures 108 of the pull-up transistors PU-1 and PU-2) is substantially equal to the dimension D1 of the narrower portions 104A of the active regions 104N (or the nanostructures 108 of the pass-gate transistors PG-1 and PG-2).
The source terminals of the pull-down transistor PD-1 and the pull-down transistor PD-2 are electrically connected to a backside VSS power rail through backside contact plugs 208, in accordance with some embodiments. Backside power rails may reduce the overall resistance of the BEOL (backend of lines) metal layers, and/or the complexity of the metal routing on the frontside of the substrate.
The semiconductor structure 100_8 is flipped upside down, as shown
The substrate 102 and the well regions PW and NW are removed from the backside of the semiconductor structure 100_8 using a planarization process such as CMP, an etching process, or a combination thereof until the isolation structure 110 is exposed, as shown in
A patterning process is performed on the lower fin element 103 to form a contact opening 206 using photolithography and etching processes, as shown in
The mask layer 202 may be made of silicon nitride, silicon oxide, carbon-doped silicon dioxide (e.g., SiO2:C), metal oxide (e.g., AlO, TiO, LaO, HfO, etc.), a nitrogen-free anti-reflection layer (NFARL), titanium nitride (TiN), boron nitride (BN), a multilayer thereof, another suitable material, or a combination thereof. For example, the mask layer 202 is deposited over the backside of the semiconductor structure 100_8, and a photoresist is then formed on the material for the mask layer 202 such as by using spin-on coating, and patterned by exposing the photoresist to light using an appropriate photomask. Exposed or unexposed portions of the photoresist may be removed depending on whether a positive or negative resist is used. The material for the mask layer 202 may be etched using the patterned photoresist layer to form the opening 204.
The patterning process also includes performing an etching process using the mask layer 202 to remove the lower fin element 103, the semiconductor isolation features 126, and dielectric isolation features 128, thereby forming the contact opening 206 exposing the source/drain feature 122N, in accordance with some embodiments. The etch process may include dry etching such as RIE, NBE, ICP etch, CCP etch, another suitable method, or a combination thereof.
A silicide layer 210 is formed on the backside surface of the source/drain feature 122N exposed from the contact opening 206, as shown in
Backside contact plug 208 is then formed on the silicide layer 210 in the contact opening 206, as shown in
In some embodiments, the formation of the contact plug 208 includes depositing one or more conductive materials for the contact plug 208 to overfill the contact opening 206, in accordance with some embodiments. In some embodiments, one or more conductive materials are deposited using CVD, PVD, e-beam evaporation, ALD, ECP, ELD, another suitable method, or a combination thereof to overfill the contact opening 206. The one or more conductive materials are planarized using, for example, CMP until the mask layer 202 is exposed.
The contact plug 208 may have a multilayer structure. For example, a barrier/adhesive layer (not shown) may optionally be deposited along the sidewalls and the bottom surfaces of the contact opening 206. The barrier layer may be made of Ta, TaN, Ti, TiN, CoW, another suitable material, or a combination thereof. A metal bulk layer is then deposited on the barrier/adhesive layer (if formed) to fill the remainder of the contact opening 206. In some embodiments, the metal bulk layers are made of one or more conductive materials, such as Co, Ni, W, Ti, Ta, Cu, Rh, Ir, Pt, Al, Ru, Mo, or a combination thereof.
The semiconductor structure 100_8 may undergo further backside BEOL processes to form various interconnection conductive features (not shown) over the backside of the semiconductor structure 100, such as backside metal layers, vias between neighboring two metal layers, passivation layers, bump pads, etc.
In some embodiments, the semiconductor structure 100_9 may be used for SRAM with a high-density design. The source terminals of the pull-down transistor PD-1 and the pull-down transistor PD-2 are electrically connected to a backside VSS power rail through backside contact plugs 208, as shown in
Compared with SRAM with a high-current design, the active regions of the SRAM with a high-density design are relatively narrow, and thus the processing of forming the backside contact plugs is more difficult. In the embodiments of the present disclosure, the active regions 104N include the wider portions 104B on which the pull-down transistors are formed, which may reduce the processing difficulty of forming the backside contact plugs electrically connected to the VSS power rail.
The lower fin elements 103 of the active regions 104N and 104P may have substantially the same profile as the active regions 104N and 104P of the layout shown in
In some embodiments, the SRAM of
In accordance with some embodiments of the present disclosure, by forming the active regions 104P with jogs, the pull-down transistors PD-1 and PD-2 may have a wider channel width (e.g., the dimension D6′) without increasing the cell height of the SRAM cell. The “alpha ratio” of the saturation current, that is the ratio of PU Idsat to PG Idsat, may thus increase, which may enhance cell performance of the resulting SRAM cells, e.g., increase in higher operation voltage (e.g., Vmax and/or Vmin), higher cell current, broader read margin metric, and/or faster operation speed.
In some embodiments, the distance D9 is in a range from about 2 nm to about 30 nm. The ratio of the distance D9 to the gate length in a range from about 2 to about 5. The dimension D6 is in a range from about 6 nm to about 35 nm. The ratio of the dimension D6 to the gate length in a range from about 0.6 to about 2. In some embodiments, the dimension D6′ is in a range from about 6 nm to about 35 nm. The ratio of the dimension D6′ to the gate length in a range from about 0.6 to about 2.
In some embodiments, the ratio (D6′/D6) of the dimension D6′ to the dimension D6 is in range from about 1.02 to about 2. If the ratio is too small (e.g., smaller than 1.02), the alpha ratio may increase too little, and the cell performance of the resulting SRAM may not significantly increase. If the ratio is too large (e.g., greater than 2), the jog transition may be too long, such that the active region may have an undesirable profile.
In some embodiments, the dimension D6 of the first portions 104C of the active regions 104P is substantially equal to the dimension D1 of the narrower portions 104A of the active region 104N (or the nanostructures 108 of the pass-gate transistors PG-1 and PG-2 and the pull-down transistors PD-1 and PD-2). In some embodiments, the dimension D6′ of the second portions 104C of the active regions 104P (or the nanostructures 108 of the pull-up transistors PU-1 and PU-2) is greater than the dimension D1.
In some embodiments, the semiconductor structure 100_12 may be used for SRAM with a high-density design. The source terminals of the pull-up transistor PU-1 and the pull-up transistor PU-2 are electrically connected to a backside VDD power rail through backside contact plugs 208, as shown in
Compared with SRAM with a high-current design, the active regions of the SRAM with a high-density design are relatively narrow, and thus the processing of forming the backside contact plugs is more difficult. In the embodiments of the present disclosure, the active regions 104P includes the wider second portions 104D on which the pull-up transistors are formed, which may reduce the processing difficulty of forming the backside contact plugs electrically connected to the VDD power rail.
As described above, the aspect of the present disclosure is directed to forming a semiconductor structure of an SRAM device including nanostructure transistors. The active regions 104N may have jog, and thus independent adjustment of the performances of the pull-down transistors PD-1/PD-2 and the pass-gate transistors PG-1/PG-2 may be achieved. Because the channel width of the pull-down transistors PD-1/PD-2 is greater than the channel width of the pass-gate transistors PG-1/PG-2, the beta ratio of the saturation current may increase, which may enhance the cell performance of the resulting SRAM cells, e.g., higher operation voltage (e.g., Vmax), higher cell current, broader read margin metric, and/or faster operation speed.
Embodiments of a semiconductor structure and the method for forming the same may be provided. The method for forming a semiconductor structure may include forming an active region. A first portion of the active region may be wider than a second portion of the active region. As a result, the pull-down transistor formed on the first portion of the active region may have a stronger performance than the pass-gate transistor formed on the second portion of the active region. Therefore, the cell performance of the resulting SRAM cells may be improved.
In some embodiments, a method for forming a semiconductor structure is provided. The method includes forming a first active region in which first semiconductor layers and second semiconductor layers are alternatingly stacked over a first lower fin element. In a plan view, the active region includes a first portion and a second portion. The second portion is narrower than the first portion. The method also includes removing the first semiconductor layers of the first active region. The second semiconductor layers of the first portion of the first active region form a plurality of first nanostructures, and the second semiconductor layers of the second portion of the first active region form a plurality of second nanostructures. The method also includes forming a first gate stack to surround the first nanostructures, and forming a second gate stack to surround the second nanostructures.
In some embodiments, a method for forming a semiconductor structure is provided. The method includes alternatively stacking sacrificial layers and channel layers over a substrate, and patterning the sacrificial layers, the channel layers and the substrate to form a first fin structure in a p-type well of the substrate and a second fin structure in an n-type well of the substrate. The first fin structure includes a strip portion and a first protruding portion extending toward the second fin structure. The method also includes forming a dummy gate structure across the first fin structure and the second fin structure. The dummy gate structure overlaps the first protruding portion of the first fin structure.
In some embodiments, a semiconductor structure is provided. The semiconductor structure includes a first pull-down transistor of a first static random access memory cell and a pass-gate transistor of the first static random access memory cell. The first pull-down transistor includes a plurality of first nanostructures, and a first gate stack surrounding the first nanostructures and extending in a first direction. The pass-gate transistor includes a plurality of second nanostructures, and a second gate stack surrounding the second nanostructures and extending in the first direction. The plurality of first nanostructures has a first dimension in the first direction, the plurality of second nanostructures has a second dimension in the first direction, and the first dimension is greater than the second dimension.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
This application claims the benefit of U.S. Provisional Application No. 63/589,738, filed on Oct. 12, 2023, entitled “ACTIVE REGION DESIGN FOR MEMORY DEVICES,” and of U.S. Provisional Application No. 63/613,486, filed Dec. 21, 2023, entitled “SEMICONDUCTOR STRUCTURE AND METHOD FOR FORMING THE SAME” both of which are incorporated herein by reference.
| Number | Date | Country | |
|---|---|---|---|
| 63589738 | Oct 2023 | US | |
| 63613486 | Dec 2023 | US |