Semiconductor structure of BIPOLAR JUNCTION TRANSISTOR (BJT)

Information

  • Patent Application
  • 20240014295
  • Publication Number
    20240014295
  • Date Filed
    June 01, 2023
    11 months ago
  • Date Published
    January 11, 2024
    4 months ago
Abstract
Semiconductor structures of bipolar junction transistor (BJT) are provided. A first active region of a collection region is formed over a first P-type well region. Second and third active regions of a base region are formed over an N-type well region. A fourth active region of an emitter region is formed over a second P-type well region. The first active region includes a plurality of first fins and a plurality of first source/drain features epitaxially grown on the first fins. Each of the second and third active regions includes a plurality of second fins and a plurality of second source/drain features epitaxially grown on the second fins. The fourth active region includes a plurality of third fins and a plurality of third source/drain features epitaxially grown on the third fins. The second and third active regions are disposed on opposite sides of the fourth active region.
Description
BACKGROUND OF THE INVENTION
Field of the Invention

The invention relates to a bipolar junction transistor (BJT), and more particularly to a BJT with high beta gain.


Description of the Related Art

Bipolar junction transistors (BJTs), which can be formed using a CMOS compatible process, are key parts of analog integrated circuits such as band-gap voltage reference circuits. These circuits are often sensitive to the Vbe (base-emitter voltage) value and Vbe mismatch of BJT.


BRIEF SUMMARY OF THE INVENTION

Semiconductor structures are provided. A semiconductor structure includes a semiconductor substrate, a deep N-type well region, a first P-type well region, an N-type well region, a second P-type well region, and a bipolar junction transistor (BJT) that includes a first active region of a collection region, a second active region and a third active region of a base region, and a fourth active region of an emitter region. The deep N-type well region is formed in the semiconductor substrate. The first P-type well region is formed over the semiconductor substrate. The N-type well region is formed over the deep N-type well region and is surrounded by the first P-type well. The second P-type well region is formed over the deep N-type well region and is surrounded by the N-type well region. The first active region of a collection region is formed over the first P-type well region. The second active region and the third active region of a base region are formed over the N-type well region. The fourth active region of an emitter region is formed over the second P-type well region. The first active region includes a plurality of first fins extending in a first direction and a plurality of first source/drain features epitaxially grown on the first fins. Each of the second active region and the third active region includes a plurality of second fins extending in the first direction and a plurality of second source/drain features epitaxially grown on the second fins. The fourth active region includes a plurality of third fins extending in the first direction and a plurality of third source/drain features epitaxially grown on the third fins. The second and third active regions are disposed on opposite sides of the fourth active region in the first direction. In the first direction, the first active region is longer than the fourth active region, and the fourth active region is longer than the second and third active regions.


Furthermore, an embodiment of a semiconductor structure is provided. The semiconductor structure includes a semiconductor substrate, a deep N-type well region formed in the semiconductor substrate, a rectangular P-type well region formed over the deep N-type well region, a ring-shaped N-type well region formed over the deep N-type well region, a ring-shaped P-type well region formed over the semiconductor substrate, and a bipolar junction transistor (BJT) that including a plurality of first active regions of a collection region formed over the ring-shaped P-type well region, a plurality of second active regions of a base region formed over the ring-shaped N-type well region, and a plurality of third active regions of an emitter region formed over the rectangular P-type well region. The rectangular P-type well region is surrounded by the ring-shaped N-type well region. The ring-shaped N-type well region is surrounded by the ring-shaped P-type well region. Each of the first active regions includes a plurality of first fins extending in a first direction and a plurality of first source/drain features epitaxially grown on the first fins. Each of the second active regions includes a plurality of second fins extending in the first direction and a plurality of second source/drain features epitaxially grown on the second fins. Each of the third active region includes a plurality of third fins extending in the first direction and a plurality of third source/drain features epitaxially grown on the third fins. In the first direction, the first active regions are longer than the third active regions, and the third active regions are longer than the second active regions. The ring-shaped N-type well region between the first and third active regions is free of the second active region.


A detailed description is given in the following embodiments with reference to the accompanying drawings.





BRIEF DESCRIPTION OF DRAWINGS

The invention can be more fully understood by reading the subsequent detailed description and examples with references made to the accompanying drawings, wherein:



FIG. 1 shows a top view of a bipolar junction transistor (BJT) according to some embodiments of the invention.



FIG. 2 shows a perspective view of the BJT of FIG. 1 according to some embodiments of the invention.



FIG. 3A shows a cross-sectional view of the semiconductor structure of the BJT along line A-A′ of FIG. 1 and FIG. 2 according to some embodiments of the invention.



FIG. 3B shows a cross-sectional view of the semiconductor structure of the BJT along line B-B′ of FIG. 1 and FIG. 2 according to some embodiments of the invention.



FIG. 3C shows a cross-sectional view of the semiconductor structure of the BJT along line C-C′ of FIG. 1 and FIG. 2 according to some embodiments of the invention.



FIG. 4 shows an equivalent circuit of the BJT according to some embodiments of the invention.



FIG. 5 shows the relationship between the beta gain and the sigma of the mismatch voltage difference.



FIG. 6 shows a top view of a BJT according to some embodiments of the invention.



FIG. 7 shows a perspective view of the BJT of FIG. 6 according to some embodiments of the invention.



FIG. 8A shows a cross-sectional view of the semiconductor structure of the BJT along line D-D′ of FIG. 6 and FIG. 7 according to some embodiments of the invention.



FIG. 8B shows a cross-sectional view of the semiconductor structure of the BJT along line E-E′ of FIG. 6 and FIG. 7 according to some embodiments of the invention.



FIG. 8C shows a cross-sectional view of the semiconductor structure of the BJT along line F-F′ of FIG. 6 and FIG. 7 according to some embodiments of the invention.



FIG. 8D shows a cross-sectional view of the semiconductor structure of the BJT along line G-G′ of FIG. 6 and FIG. 7 according to some embodiments of the invention.





DETAILED DESCRIPTION OF THE INVENTION

The following description is of the best-contemplated mode of carrying out the invention. This description is made for the purpose of illustrating the general principles of the invention and should not be taken in a limiting sense. The scope of the invention is best determined by reference to the appended claims.


Some variations of the embodiments are described. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements. It should be understood that additional operations can be provided before, during, and/or after a disclosed method, and some of the operations described can be replaced or eliminated for other embodiments of the method.


Furthermore, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.


Semiconductor devices employing higher device integration density, such as fin-base field effect transistor (FinFET) semiconductor devices. FinFET NMOS and/or PMOS transistors are formed in oxide definition (OD) regions. The OD region, sometimes labeled as an “oxide diffusion” area, defines an active region for the transistor, i.e., the region where the source, drain and channel under the gate of transistor are formed. The active region is defined to be between inactive areas, such as shallow trench isolation (STI) or field oxide (FOX) region.



FIG. 1 shows a top view of a bipolar junction transistor (BJT) 100 according to some embodiments of the invention. The BJT 100 is a PNP BJT device and includes a collector region 2, a base region 4 and an emitter region 6. The emitter region 6 has a width W3 in the X direction and a height H3 in the Y direction. The emitter region 6 is surrounded by the base region 4. The base region 4 is a ring area having an inner boundary and an outer boundary. The inner boundary of the base region 4 has the width W3 in the X direction and the height H3 in the Y direction, and the outer boundary of the base region 4 has a width W2 in the X direction and a height H2 in the Y direction. The width W2 is greater than the width W3 (i.e., W2>W3), and the height H2 is greater than the height H3 (i.e., H2>H3). The base region 4 is surrounded by the collector region 2. The collector region 2 is a ring area having an inner boundary and an outer boundary. The inner boundary of the collector region 2 has the width W2 in the X direction and the height H2 in the Y direction, and the outer boundary of the collector region 2 has a width W1 in the X direction and a height H1 in the Y direction. The width W1 is greater than the width W2 (i.e., W1>W2), and the height H1 is greater than the height H2 (i.e., H1>H2).


In FIG. 1, the collector region 2 includes two active regions 10a and 10b. In the Y direction, the active regions 10a and 10b are disposed on two opposite sides of the base region 4. The active regions 10a and 10b has a length L1 in the X direction and a width D1 in the Y direction. In the embodiment, the length L1 is greater than the width W3 and less than the width W2, i.e., W2>L1>W3. Each of the active regions 10a and 10b includes a plurality of P-type transistors, and the P-type transistors are formed by the fins 110 extending in the X direction. Furthermore, there are the same number of fins 110 in active regions 10a and 10b. In order to simplify the description, the gate structures of the P-type transistors corresponding to the fins 110 are omitted in FIG. 1. The gate structures of the P-type transistors extend in the Y direction, and the gate structures of the P-type transistors are floating, i.e., no signal is applied to the gate of the P-type transistors.


The base region 4 includes fourth active regions 20a, 20b, 20c and 20d. In the Y direction, the active regions 20b and 20d and the active regions 20a and 20c are disposed on two opposite sides of the emitter region 6. The active regions 20a, 20b, 20c and 20d have a length L2 in the X direction and a width D2 in the Y direction. Each of the active regions 20a, 20b, 20c and 20d includes a plurality of N-type transistors, and the N-type transistors are formed by the fins 120 extending in the X direction. Furthermore, there are the same number of fins 120 in active regions 20a, 20b, 20c and 20d. In the BJT 100, the fins 120 are shorter than the fins 110. Furthermore, there are fewer fins 120 in each of active regions 20a, 20b, 20c and 20d than the fins 110 in each of active regions 10a and 10b. In order to simplify the description, the gate structures of the N-type transistors are omitted in FIG. 1. The gate structures of the N-type transistors extend in the Y direction, and the gate structures of the N-type transistors are floating, i.e., no signal is applied to the gate of the N-type transistors.


The emitter region 6 includes two active regions 30a and 30b. The active regions 30a and 30b has a length L3 in the X direction and a width D3 in the Y direction. In the embodiment, the length L3 is greater than the length L2 and less than the length L1, i.e., L1>L3>W2. In some embodiments, the width D3 is equal to the width D2, i.e., D2=D3. In some embodiments, the width D3 is greater than the width D2, i.e., D3>D2. Each of the active regions 30a and 30b includes a plurality of P-type transistors, and the P-type transistors are formed by the fins 130 extending in the X direction. Furthermore, there are the same number of fins 130 in active regions 30a and 30b. In the BJT 100, the fins 130 are shorter than the fins 110 and longer than the fins 120. Furthermore, the number of the fins 130 in each of the active regions 30a and 30b is greater than the number of the fins 120 in each of the active regions 20a, 20b, 20c and 20d. In order to simplify the description, the gate structures of the P-type transistors corresponding to the fins 130 and extending in the Y direction are omitted in FIG. 1. The gate structures of the P-type transistors extend in the Y direction, and the gate structures of the P-type transistors are floating, i.e., no signal is applied to the gate of the P-type transistors.


The active region 30a is close to the active region 10a (i.e., away from the active region 10b), and the active region 30b is close to the active region 10b (i.e., away from the active region 10a). In other words, the active region 30a is arranged between the active region 30b and the active region 10a, and the active region 30b is arranged between the active region 30a and the active region 10b. Moreover, the active region 20a and 20b are close to the active region 10a (i.e., away from the active region 10b), and the active regions 20c and 20d are close to the active region 10b (i.e., away from the active region 10a). In other words, the active region 20a is arranged between the active region 20c and the active region 10a, and the active region 20b is arranged between the active region 20d and the active region 10a. The active region 20c is arranged between the active region 20a and the active region 10b, and the active region 20d is arranged between the active region 20b and the active region 10b.


In the BJT 100, the active regions 30a and 30b are disposed between the active regions 20a, 20b, 20c and 20d. Moreover, the active regions 30a and 30b and the active regions 20a, 20b, 20c and 20d are disposed between the active regions 10a and 10b. In the embodiment, the number of the active regions 30a and 30b is equal to the number of the active regions 10a and 10b. The number of the active regions 20a, 20b, 20c and 20d is greater than to the number of the active regions 10a and 10b. For example, the number of the active regions 20a, 20b, 20c and 20d is the sum of the number of the active regions 10a and 10b and the number of the active regions 30a and 30b.


In the BJT 100, the transistors formed in the active regions of the collector region 2, the base region 4 and the emitter region 6 are regular fin-base transistors. In some embodiments, the transistors in the active regions of the BJT 100 may be planar transistors, non-regular fin field effect transistors (FinFETs) (e.g., the fins with various widths), vertical gate all around (GAA), horizontal GAA, nano wire, nano sheet, or a combination thereof.


In the BJT 100, the active regions 20a and 20b are respectively disposed on the left and right sides of the active region 30a, and the active regions 20a and 20b and the active region 30a are arranged on a straight line in the X direction. Moreover, the active regions 20c and 20d are respectively disposed on the left and right sides of the active region 30b, and the active regions 20c and 20d and the active region 30b are arranged on a straight line in the X direction. In other words, no active region of the base region 4 is disposed between the active regions 10a and 10b of the collector region 2 and the active regions 30a and 30b of the emitter region 6. Moreover, the active regions 20a and 20c are arranged on a straight line in the Y direction, and the active regions 20b and 20d are arranged on a straight line in the Y direction.


The distance between the active region 20a and the active region 10a is equal to the distance between the active region 20b and the active region 10a, and the distance between the active region 20c and the active region 10b is equal to the distance between the active region 20d and the active region 10b. In some embodiments, the distance between the active region 20a (or 20b) and the active region 10a is equal to the distance between the active region 30a and the active region 10a. Similarly, the distance between the active region 20c (or 20d) and the active region 10b is equal to the distance between the active region 30b and the active region 10b. In some embodiments, the active regions 30a and 30b may be merged into one active region, the active regions 20a and 20c may be merged into one active region, and the active regions 20b and 20d may be merged into one active region.



FIG. 2 shows a perspective view of the BJT 100 of FIG. 1 according to some embodiments of the invention. The BJT 100 is formed over the semiconductor substrate 102. In some embodiments, the semiconductor substrate 102 is a Si substrate. In some embodiments, the material of the semiconductor substrate 102 is selected from a group consisting of bulk-Si, SiP, SiGe, SiC, SiPC, Ge, SOI-Si, SOI-SiGe, III-VI material, or a combination thereof.


In FIG. 2, the deep N-type well region 103 is formed in the semiconductor substrate 102. The N-type well region 107 is formed over the deep N-type well 103. Furthermore, the P-type well region 106 is formed over the semiconductor substrate 102. The source/drain features 113 are epitaxially grown on the fins 110, and the collector region 2 of the BJT 100 is electrically coupled to the upper interconnect structure (not shown) through the source/drain features 113. The source/drain features 123 are epitaxially grown on the fins 120, and the base region 4 of the BJT 100 is electrically coupled to the upper interconnect structure (not shown) through the source/drain features 123. The source/drain features 133 are epitaxially grown on the fins 130, and the emitter region 6 of the BJT 100 is electrically coupled to upper the interconnect structure (not shown) through the source/drain features 133.


There are two basic types of bipolar transistor structures, PNP and NPN, which basically describe the physical arrangement of the P-type and N-type semiconductor materials from which they are made. In the embodiment, the BJT 100 is the PNP-type BJT device. In some embodiments, the BJT 100 may be the NPN-type BJT device by modifying the semiconductor materials and adding the required semiconductor layer.



FIG. 3A shows a cross-sectional view of the semiconductor structure of the BJT 100 along line A-A′ of FIG. 1 and FIG. 2 according to some embodiments of the invention. The deep N-type well region 103 is formed in the semiconductor substrate 102. Furthermore, the top surfaces of the deep N-type well region 103 and the semiconductor substrate 102 are coplanar.


The P-type well region 104 is formed over the deep N-type well region 103, and the fin 130 is formed over the P-type well region 104. The P-type well region 104 overlaps the deep N-type well region 103 in the Z direction. The fin 130 extends in the X direction. The source/drain feature 133 is epitaxially grown on the fin 130, and extends in the X direction. In some embodiments, the source/drain feature 133 and the fin 130 are substantially have the same length in the X direction.


The N-type well region 107 is formed over the deep N-type well region 103, and the fins 120 are formed over the N-type well region 107. The N-type well region 107 overlaps the deep N-type well region 103 in the Z direction. The fins 120 extend in the X direction. As described above, the fin 120 is shorter than the fin 130 in the X direction. The source/drain feature 123 is epitaxially grown on the fin 120, and extends in the X direction. In some embodiments, the source/drain feature 123 and the fin 120 are substantially have the same length in the X direction.


The fins 120 are separated from each other by the STI 109, and the fins 130 are separated from each other by the STI 109. The P-type well region 104 is surrounded by the N-type well region 107. The P-type well region 104 is separated from the P-type well region 106 by the N-type well region 107. Furthermore, the P-type well region 104 and the N-type well region 107 are separated from the semiconductor substrate 102 by the deep N-type well region 103.


When the BJT 100 is operated, a signal (voltage or current) is applied to the emitter region 6 through the source/drain feature 133. In FIG. 3A, label 162 represents the path of the electric holes 150 transported from the source/drain feature 133 and the fin 130 of the emitter region 6 to the source/drain feature 123 and the fins 120 of the base region 4. Moreover, label 164 represents the path of the electric holes 150 transported from the source/drain feature 133 and the fin 130 of the emitter region 6 to the semiconductor substrate 102.


In the BJT 100, the collector region 2 is defined by the P-type well region 106, and the P-type well region 106 is a ring-shaped well region in the layout. Moreover, the base region 4 is defined by the N-type well region 107, and the N-type well region 107 is a ring-shaped well region in the layout. The emitter region is defined by the P-type well region 104, and the P-type well region 104 is a rectangular well region in the layout. In some embodiments, the P-type well regions 104 and 106 and the N-type well region 107 are formed in the same layer. Furthermore, the P-type well region 104 is surrounded by the N-type well region 107, and the N-type well region 107 is surrounded by the P-type well region 106.



FIG. 3B shows a cross-sectional view of the semiconductor structure of the BJT 100 along line B-B′ of FIG. 1 and FIG. 2 according to some embodiments of the invention. The deep N-type well region 103 is formed in the semiconductor substrate 102. The P-type well region 104 and the N-type well region 107 overlap the deep N-type well region 103. The P-type well region 106 does not overlap the deep N-type well region 103. Furthermore, the P-type well region 104 is separated from the P-type well region 106 by the N-type well region 107.


In FIG. 3B, the fins 130 of the active regions 30a and 30b are formed over the P-type well region 104, and the fins 130 are separated from each other by the STI 109. The source/drain features 133 are epitaxially grown on the fins 130. In order to simplify the description, the floating gate structures between the source/drain feature 133 in the active regions 30a and 30b are omitted in FIG. 3B. The fins 110 of the active regions 10a and 10b are formed over the P-type well region 106, and the fins 110 are separated from each other by the STI 109. The source/drain features 113 are epitaxially grown on the fins 110. In order to simplify the description, the floating gate structures between the source/drain feature 113 in the active regions 10a and 10b are omitted in FIG. 3B. In some embodiments, the number of the fins 130 in each of the active regions 30a and 30b is equal to the number of the fins 110 in each of the active regions 10a and 10b. It should be noted that the N-type well region 107 between the active regions 10a and 30a and the N-type well region 107 between the active regions 10b and 30b do not have fins 120 in the base region 4 (i.e., the active region of the base region 4).


Compared with traditional BJT device, no active region of the base region 4 is disposed between the active region 30a/30b of the emitter region 6 and the active region 10a/10b of the collector region 2. Therefore, the BJT 100 has high base resistance than the traditional BJT device. When the BJT 100 is operated, the electric holes 150 are transported from the source/drain feature 133 and the fin 130 of the emitter region 6 to the source/drain feature 113 and the fins 110 of the collector region 2, and not a huge amount is lost since no fins 120 exists between the fins 110 and fins 130. Although the electric holes 150 still need to pass through the base region 4 and has recombination, the electric holes 150 do not directly affected by the active region (e.g., the active region 20a, 20b, 20c or 20d) of the base region 4. Therefore, the electric holes 150 have high possibility to arrive in the collector region 2 for high beta achievement.



FIG. 3C shows a cross-sectional view of the semiconductor structure of the BJT 100 along line C-C′ of FIG. 1 and FIG. 2 according to some embodiments of the invention. The deep N-type well region 103 is formed in the semiconductor substrate 102. The N-type well region 107 is formed over the deep N-type well region 103 and overlaps the deep N-type well region 103 in the Z direction. The P-type well region 106 is formed over the semiconductor substrate 102 and does not overlap the deep N-type well region 103 in the Z direction.


In FIG. 3C, the fins 120 of the active regions 20a and 20c are formed over the N-type well region 107, and the fins 120 are separated from each other by the STI 109. The source/drain feature 123 is epitaxially grown on the fins 120. In order to simplify the description, the floating gate structures between the source/drain feature 123 in the active regions 20a and 20c are omitted in FIG. 3C. The fins 110 of the active regions 10a and 10b are formed over the P-type well region 106, and the fins 110 are separated from each other by the STI 109. The source/drain feature 113 is epitaxially grown on the fins 110. In order to simplify the description, the floating gate structures between the source/drain feature 113 in the active regions 10a and 10b are omitted in FIG. 3C. In some embodiments, the number of the fins 120 in each of the active regions 20a and 20c is less than the number of the fins 110 in each of the active regions 10a and 10b.



FIG. 4 shows an equivalent circuit of the BJT 100 according to some embodiments of the invention. A current source is configured to provide the current Ie to the BJT 100, and Ie=Ic+Ib. The current Ic is the current flowing out of the collector terminal, the current Ib is the current flowing out of the base terminal, and the current Ie is the current flowing into the emitter terminal. The current Ib of the BJT 100 is less than that of the traditional BJT device because no active region of the base region is disposed between the active regions of the emitter region and the active regions of the collector region in the BJT 100 (i.e., the base region has high base resistance). Therefore, the current Ic of the BJT 100 is greater than that of the traditional BJT device for the same current Ie, and the BJT 100 has high beta gain βf (βf=Ic/Ib) than the traditional BJT device. Low base current noise may cause the voltage difference Vbe between the emitter terminal and the collector terminal less variation. Therefore, the mismatch voltage difference ΔVbe (ΔVbe=Vbe1−Vbe2) is decrease for the BJT 100.



FIG. 5 shows the relationship between the beta gain βf and the sigma of the mismatch voltage difference ΔVbe. As shown in FIG. 5, when the beta gain βf is increased, the sigma of the mismatch voltage difference ΔVbe is decreased. The BJT 100 having low mismatch may improve thermal sensor accuracy.



FIG. 6 shows a top view of a BJT 200 according to some embodiments of the invention. The BJT 200 includes a collector region 2, a base region 4 and an emitter region 6. The configuration of the BJT 200 is similar to that of the BJT 100 of FIG. 1. The difference between the BJT 200 of FIG. 6 and the BJT 100 of FIG. 1 is that the active regions 20a, 20b, 20c and 20d of the BJT 200 in FIG. 6 are disposed in four corners of the base region 4. For example, the active regions 20a, 20b, 20c and 20d are arranged in the upper left corner, the upper right corner, the lower left corner and the lower right corner of the base region 4, respectively. In other words, the active regions 20a and 20b and the active region 30a are not arranged on a straight line in the X direction, and the active regions 20c and 20d and the active region 30b are not arranged on a straight line in the X direction. Moreover, the active regions 20a and 20c are arranged on a straight line in the Y direction, and the active regions 20b and 20d are arranged on a straight line in the Y direction.


In the BJT 200, no active region of the base region 4 is disposed between the active regions 10a and 10b of the collector region 2 and the active regions 30a and 30b of the emitter region 6. In some embodiments, the distance between the active region 20a (or 20b) and the active region 10a is less than the distance between the active region 30a and the active region 10a. Similarly, the distance between the active region 20c (or 20d) and the active region 10b is less than the distance between the active region 30b and the active region 10b. In some embodiments, the active regions 30a and 30b may be merged into one active region.



FIG. 7 shows a perspective view of the BJT 200 of FIG. 6 according to some embodiments of the invention. The BJT 200 is formed over the semiconductor substrate 102. The deep N-type well region 103 is formed in the semiconductor substrate 102. The N-type well region 107 is formed over the deep N-type well 103. Furthermore, the P-type well region 106 is formed over the semiconductor substrate 102. The source/drain features 113 are epitaxially grown on the fins 110 for connecting the collector region 2 of the BJT 200 to the upper interconnect structure (not shown). The source/drain features 123 are epitaxially grown on the fins 120 for connecting the base region 4 of the BJT 200 to the upper interconnect structure (not shown). The source/drain features 133 are epitaxially grown on the fins 130 for connecting the emitter region 6 of the BJT 200 to the upper interconnect structure (not shown).



FIG. 8A shows a cross-sectional view of the semiconductor structure of the BJT 200 along line D-D′ of FIG. 6 and FIG. 7 according to some embodiments of the invention. The deep N-type well region 103 is formed in the semiconductor substrate 102. Furthermore, the top surfaces of the deep N-type well region 103 and the semiconductor substrate 102 are coplanar.


The P-type well region 104 is formed over the deep N-type well region 103, and the fin 130 is formed over the P-type well region 104. The P-type well region 104 overlaps the deep N-type well region 103 in the Z direction. The fin 130 extends in the X direction. The source/drain feature 133 is epitaxially grown on the fin 130, and extends in the X direction. In some embodiments, the source/drain feature 133 and the fin 130 are substantially have the same length in the X direction.


The N-type well region 107 is formed over the deep N-type well region 103 and overlaps the deep N-type well region 103 in the Z direction. The P-type well region 104 is surrounded by the N-type well region 107, and the P-type well region 104 is separated from the P-type well region 106 by the N-type well region 107.


In the BJT 200, the collector region 2 is defined by the P-type well region 106, and the P-type well region 106 is a ring-shaped well region in the layout. Moreover, the base region 4 is defined by the N-type well region 107, and the N-type well region 107 is a ring-shaped well region in the layout. The emitter region is defined by the P-type well region 104, and the P-type well region 104 is a rectangular well region in the layout. In some embodiments, the P-type well regions 104 and 106 and the N-type well region 107 are formed in the same layer. Furthermore, the P-type well region 104 is surrounded by the N-type well region 107, and the N-type well region 107 is surrounded by the P-type well region 106.



FIG. 8B shows a cross-sectional view of the semiconductor structure of the BJT 200 along line E-E′ of FIG. 6 and FIG. 7 according to some embodiments of the invention. The deep N-type well region 103 is formed in the semiconductor substrate 102. The N-type well region 107 is formed over the deep N-type well region 103, and the fin 120 is formed over the N-type well region 107. The N-type well region 107 overlaps the deep N-type well region 103 in the Z direction. The fin 120 extends in the X direction. The source/drain feature 123 is epitaxially grown on the fin 120, and extends in the X direction. In some embodiments, the source/drain feature 123 and the fin 120 are substantially have the same length in the X direction.



FIG. 8C shows a cross-sectional view of the semiconductor structure of the BJT 200 along line F-F′ of FIG. 6 and FIG. 7 according to some embodiments of the invention. The deep N-type well region 103 is formed in the semiconductor substrate 102. The P-type well region 104 overlaps the deep N-type well region 103. The P-type well region 104 and the N-type well region 107 overlap the deep N-type well region 103. The P-type well region 106 does not overlap the deep N-type well region 103. Furthermore, the P-type well region 104 is separated from the P-type well region 106 by the N-type well region 107.


In FIG. 8C, the fins 130 of the active regions 30a and 30b are formed over the P-type well region 104, and the fins 130 are separated from each other by the STI 109. The source/drain features 133 are epitaxially grown on the fins 130. In order to simplify the description, the floating gate structures between the source/drain feature 133 in the active regions 30a and 30b are omitted in FIG. 8C. The fins 110 of the active regions 10a and 10b are formed over the P-type well region 106, and the fins 110 are separated from each other by the STI 109. The source/drain features 113 are epitaxially grown on the fins 110. In order to simplify the description, the floating gate structures between the source/drain feature 113 in the active regions 10a and 10b are omitted in FIG. 8C. In some embodiments, the number of the fins 130 in the active regions 30a and 30b is equal to the number of the fins 110 in the active regions 10a and 10b. It should be noted that the N-type well region 107 between the active regions 10a and 30a and the N-type well region 107 between the active regions 10b and 30b do not have the fins 120 in the base region 4 (i.e., the active region of the base region 4).


Compared with traditional BJT device, no active region of the base region 4 is disposed between the active region 30a/30b of the emitter region 6 and the active region 10a/10b of the collector region 2. Therefore, the BJT 200 has high base resistance than the traditional BJT device. When the BJT 200 is operated, the electric holes 150 are transported from the source/drain feature 133 and the fin 130 of the emitter region 6 to the source/drain feature 113 and the fins 110 of the collector region 2, and not a huge amount is lost since no fins 120 exists between the fins 110 and fins 130. Although the electric holes 150 still need to pass through the base region 4 and has recombination, the electric holes 150 do not directly affected by the active region (e.g., the active region 20a, 20b, 20c or 20d) of the base region 4. Therefore, the electric holes 150 have high possibility to arrive in the collector region 2 for high beta achievement.



FIG. 8D shows a cross-sectional view of the semiconductor structure of the BJT 200 along line G-G′ of FIG. 6 and FIG. 7 according to some embodiments of the invention. The deep N-type well region 103 is formed in the semiconductor substrate 102. The N-type well region 107 is formed over the deep N-type well region 103 and overlaps the deep N-type well region 103 in the Z direction. The P-type well region 106 is formed over the semiconductor substrate 102 and does not overlap the deep N-type well region 103 in the Z direction.


In FIG. 8D, the fins 120 of the active regions 20a and 20c are formed over the N-type well region 107, and the fins 120 are separated from each other by the STI 109. The source/drain feature 123 is epitaxially grown on the fins 120. In order to simplify the description, the floating gate structures between the source/drain feature 123 in the active regions 20a and 20c are omitted in FIG. 8D. The fins 110 of the active regions 10a and 10b are formed over the P-type well region 106, and the fins 110 are separated from each other by the STI 109. The source/drain feature 113 is epitaxially grown on the fins 110. In order to simplify the description, the floating gate structures between the source/drain feature 113 in the active regions 10a and 10b are omitted in FIG. 8D. In some embodiments, the number of the fins 120 in the active regions 20a and 20c is less than the number of the fins 110 in the active regions 10a and 10b.


In the embodiments, the BJT devices with base resistance are provided. In the BJT 100 and 200, no active region of the base region is disposed between the active regions of the emitter region and the active regions of the collector region. Therefore, the BJTs 100 and 200 have high base resistance than the traditional BJT device, thus increasing the beta gain. Therefore, the sigma of the mismatch voltage difference of the BJT of the embodiments is decreased.


While the invention has been described by way of example and in terms of the preferred embodiments, it should be understood that the invention is not limited to the disclosed embodiments. On the contrary, it is intended to cover various modifications and similar arrangements (as would be apparent to those skilled in the art). Therefore, the scope of the appended claims should be accorded the broadest interpretation so as to encompass all such modifications and similar arrangements.

Claims
  • 1. A semiconductor structure, comprising: a semiconductor substrate;a deep N-type well region formed in the semiconductor substrate;a first P-type well region formed over the semiconductor substrate;an N-type well region formed over the deep N-type well region, and surrounded by the first P-type well;a second P-type well region formed over the deep N-type well region, and surrounded by the N-type well region; anda bipolar junction transistor (BJT), comprising: a first active region of a collection region formed over the first P-type well region, comprising a plurality of first fins extending in a first direction and a plurality of first source/drain features epitaxially grown on the first fins;a second active region and a third active region of a base region formed over the N-type well region, each comprising a plurality of second fins extending in the first direction and a plurality of second source/drain features epitaxially grown on the second fins; anda fourth active region of an emitter region formed over the second P-type well region, comprising a plurality of third fins extending in the first direction and a plurality of third source/drain features epitaxially grown on the third fins,wherein the second and third active regions are disposed on opposite sides of the fourth active region in the first direction,wherein in the first direction, the first active region is longer than the fourth active region, and the fourth active region is longer than the second and third active regions.
  • 2. The semiconductor structure as claimed in claim 1, wherein no active region of the base region is disposed between the first and fourth active regions.
  • 3. The semiconductor structure as claimed in claim 1, wherein in a second direction that is perpendicular to the first direction, a distance between the first and fourth active regions is equal to a distance between the first and second active regions.
  • 4. The semiconductor structure as claimed in claim 3, wherein in the second direction, the distance between the first and second active regions is equal to a distance between the first and third active regions.
  • 5. The semiconductor structure as claimed in claim 1, wherein the first fins are longer than the third fins, and the third fins are longer than the second fins.
  • 6. The semiconductor structure as claimed in claim 1, wherein the number of the second fins is less than the number of the first fins and the number of the fourth fins.
  • 7. The semiconductor structure as claimed in claim 1, wherein the BJT further comprises: a fifth active region of the collection region formed over the first P-type well region, comprising the first fins extending in the first direction and the first source/drain features epitaxially grown on the first fins,wherein the first and fifth active regions are disposed on opposite sides of the fourth active region in a second direction that is perpendicular to the first direction,wherein the number of the first fins in the first active region is equal to the number of the first fins in the fifth active region.
  • 8. The semiconductor structure as claimed in claim 7, wherein the BJT further comprises: a sixth active region and a seventh active region of the base region formed over the N-type well region, each comprising the second fins extending in the first direction and the second source/drain features epitaxially grown on the second fins,wherein the sixth and seventh active regions are disposed on opposite sides of the fourth active region in the first direction,
  • 9. The semiconductor structure as claimed in claim 8, wherein the sixth active region is disposed between the second active region and the fifth active region, and the seventh active region is disposed between the third active region and the fifth active region, wherein the second, third sixth and seventh active regions have the same number of second fins.
  • 10. The semiconductor structure as claimed in claim 7, wherein the BJT further comprises: an eighth active region of the emitter region formed over the second P-type well region, comprising the third fins extending in the first direction and the third source/drain features epitaxially grown on the third fins,wherein the eighth active region is disposed between the fourth active region and the fifth active region.wherein the number of the third fins in the fourth active region is equal to the number of the third fins in the eighth active region.
  • 11. A semiconductor structure, comprising: a semiconductor substrate;a deep N-type well region formed in the semiconductor substrate;a rectangular P-type well region formed over the deep N-type well region;a ring-shaped N-type well region formed over the deep N-type well region, wherein the rectangular P-type well region is surrounded by the ring-shaped N-type well region;a ring-shaped P-type well region formed over the semiconductor substrate, wherein the ring-shaped N-type well region is surrounded by the ring-shaped P-type well region; anda bipolar junction transistor (BJT), comprising: a plurality of first active regions of a collection region formed over the ring-shaped P-type well region, each comprising a plurality of first fins extending in a first direction and a plurality of first source/drain features epitaxially grown on the first fins;a plurality of second active regions of a base region formed over the ring-shaped N-type well region, each comprising a plurality of second fins extending in the first direction and a plurality of second source/drain features epitaxially grown on the second fins; anda plurality of third active regions of an emitter region formed over the rectangular P-type well region, each comprising a plurality of third fins extending in the first direction and a plurality of third source/drain features epitaxially grown on the third fins,wherein in the first direction, the first active regions are longer than the third active regions, and the third active regions are longer than the second active regions,wherein the ring-shaped N-type well region between the first and third active regions is free of the second active region.
  • 12. The semiconductor structure as claimed in claim 11, wherein the second active regions are disposed on opposite sides of the third active regions in the first direction.
  • 13. The semiconductor structure as claimed in claim 11, wherein the second active regions are disposed on corners of the ring-shaped N-type well.
  • 14. The semiconductor structure as claimed in claim 11, wherein the first fins are longer than the third fins, and the third fins are longer than the second fins.
  • 15. The semiconductor structure as claimed in claim 11, wherein the number of the second fins in each of the second active regions is less than the number of the first fins in each of the first active regions.
  • 16. The semiconductor structure as claimed in claim 11, wherein the number of the second fins in each of the second active regions is less than the number of the third fins in each of the third active regions.
  • 17. The semiconductor structure as claimed in claim 11, wherein the number of the first fins in each of the first active regions is equal to the number of the third fins in each of the third active regions.
  • 18. The semiconductor structure as claimed in claim 11, wherein the third active regions are disposed between the second active regions, and the second and third active regions are disposed between the first active regions.
  • 19. The semiconductor structure as claimed in claim 11, wherein the number of the first active regions is equal to the number of the third active regions, and the number of the second active regions is greater than the number of the first active regions.
  • 20. The semiconductor structure as claimed in claim 11, wherein the number of the second active regions is the sum of the number of the first active regions and the number of the third active regions.
CROSS REFERENCE TO RELATED APPLICATIONS

This application claims priority of U.S. Provisional Application No. 63/368,090, filed on Jul. 11, 2022, the entirety of which is incorporated by reference herein.

Provisional Applications (1)
Number Date Country
63368090 Jul 2022 US