The present invention relates to spin-coatable metal doped silicon-containing film-forming compositions for forming metal doped silicon-containing films and processes of using them, wherein the metal is selected form Al, Ti, Zr, Sn, Ni, W, Hf, Ta, B, Ga, Cr, Ge, In, or the like.
Metal oxide films are used as hard mask materials in the semiconductor industry for patterning purposes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are commonly used to deposit metal doped silicon-containing films on substrates, unfortunately ALD or CVD tools are high cost-of-ownership and low throughput. The typical lithographic technique includes providing a material layer on a semiconductor substrate; coating a photoresist layer thereon, exposing and developing the same to provide a photoresist pattern; and etching the material layer using the photoresist pattern as a mask.
A hardmask layer plays a role of an intermediate layer for transferring the fine pattern of photoresist to the material layer through the selective etching process. The hardmask layer requires having characteristics such as chemical resistance, heat resistance, and etching resistance. The hardmasks usually contain high amounts of refractory materials (e.g. Al, Si, Ti, Zr, Hf, W, and the like) or have high carbon content. The hardmasks are used when the photoresist, usually made of organic polymer, is not capable of providing sufficient resistance to dry etching.
Padmanaban et al. (J. Photopolymer Sci and Tech, Vol 27, No 4 (2014) 503-509, and J. Photopolymer Sci and Tech, Vol 29, No 1 (2016) 59-67) disclose spin-on metal hard mask (MHM) materials containing metal Ti, W, Zr, Hf, Al, and Sn etc., which can form amorphous metal oxide films after the spin coating and bake process, in which commercial AZ Spin-on MHM is used but precursor families are not specified.
US 2019/0309422 discloses a method to deposit by spin coating a conductive metallic film onto a substrate using a liquid metallic precursor. The metal can be Fe, Co, Ni, Ru. Ir. Rh, Pd, Pt, Cu, Ag, Au or Ob, coordinated to at least one neutral stabilizing ligand such as CO, NO, acetylene, ethylene, C4-C18 diene or cyclic diene, C6-C18 triene, C8-C18 tetraene, organocyanide, nitrile, phosphine, amine, ether, alkyne.
U.S. Pat. No. 9,201,305 discloses organic solvent soluble spin-on metal oxide carboxylate materials that can be used to form underlayers suitable for photolithographic processes.
U.S. Pat. No. 9,409,793 discloses a spin-on composition containing metallosilicic acid, such as tungstosilicic acid, and an oligo/polymer which has at least two or more 4-hydroxyphenyl groups; and a solvent.
There were efforts to prepare the precursor for metal doped SiOx, MOx/SiOx mixture, or SiMOx composites, however, most of them were ceramic materials which required high temperature annealing. The metal content in the film was believed to be the key parameter for higher etch selectivity. The SiMOx precursors were prepared from the reaction between metal alkoxide and hydrogen silsesquioxane resin (HSQ) with or without catalyst. U.S. Pat. Nos. 4,808,653 and 4,849,296 disclose a method to enhance the protection of electronic devices by coating the thin multilayer ceramic or ceramic like film on the surface of the devices. The monolayer coating was prepared by contacting hydrogen silsesquioxane resin (HSiO3/2) n with Zr, Al and/or Ti alkoxides to produce a homogeneous preceramic polymer material. U.S. Pat. No. 5,008,321 included platinum [(CH3CH2)2S2PtCl2] or rhodium [RhCl3 (CH3CH2CH2CH2)2S3] catalysts in the films to facilitate the ceramification. WO 2019236186 discloses a solution with a composition having the formula of [HSiO3/2]a[Mon/2]b[HOSiO2/2]c, where a is 0.8 or more and at the same time one or less; b is greater than zero and at the same time 0.2 or less; and c is zero or more and at the same time 0.1 or less; M is in each occurrence independently selected from a group consisting of aluminum (Al), phosphorous (P), titanium (Ti), gallium (Ga), germanium (Ge), iron (Fe), cesium (Ce), barium (Ba), lanthanum (La), antimony (Sb), bismuth (Bi), zirconium (Zr) and tin (Sn). Emanuel et al. (J. AM. Chem. Soc., 92 (1)241-250 (2010)) disclose polymer-derived SiOC/ZrO2 ceramic nanocomposites. Two approaches were mentioned to prepare the precursor. In the first approach, a cross-linking agent of 1 wt % zirconium acetylacetonate was to a solution of 10 g polymethylsilsesquioxane (PMS) in 25 mL isopropanol and subsequently zirconia nanopowder (50 nm) was dispersed into the solution by ultrasonication in different volume ratios (5-30 vol %). In the second approach, a solution of zirconium tetra(n-propoxide) solution in 5 mL isopropanol was added to a solution of 10 g PMS and 1 wt % zirconium acetylacetonate in 25 mL isopropanol (5-30 vol % alkoxide). Subsequently the solvent was removed in vacuum at ambient temperature, and the prepared mixture were ground in a planetary ball mill.
The polymetalosilazane formulations were prepared from the reaction between metal alkoxide and perhydropolysilazanes [R1R2Si—NR3]. The applications were mainly in ceramic field. U.S. Pat. No. 4,886,860 discloses polymetalosilazane formulations contain a metal/silicon atomic ratio of 0.001 to 3 and a number average molecular weight of about 200 to about 500,000, which were obtained by the reaction between perhydropolysilazanes [R1R2Si—NR3] and metal alkoxides [M (OR4)n]. U.S. Pat. No. 4,482,689 discloses a process for preparing R3′SiNH-containing metallosilazane polymer containing B, Ti, P by contacting and reacting chlorine-containing disilanes and certain reactive metal halides with [R3′Si] 2NH where R′ represented vinyl, hydrogen or alkyl radical of 1-3 carbon atoms, or phenyl. Preferred reactive metal halides include BBr3, TiCl4 and PCl3. Emanuel et al. (J. European Ceramic Soc, 35 (2015)2007-2015) disclose an amorphous polymer-derived Si-Hf-N ceramics which were prepared via ammonolysis of single-source precursors prepared by the chemical reaction between a commercially available perhydropolysilazane (PHPS) with tetrakis(dimethylamido) hafnium (IV). J. Ceramic Soc Japan 108 [4] 350-356 (2000) discloses Poly-Titanosilanzanes formulation was prepared by reacting the PHPS with TiX4 [X=N(CH3)2, OCH(CH3)2]. The formulation was cured in pyrolysis at 1000° C. in NH3, followed by heat treatment at 1800° C. in N2. The final product was the hetero-structure of Si3N4—TiN. CN103589339A discloses a spin coating precursor was synthesized for making SiO2/MOx composite with good optical properties. The present invention discloses a polysilazane coating solution comprising a metal element and for the preparation of highly transparent metal oxide. JP6295865 B2 discloses a gas barrier film is formed by laminating an inorganic barrier layer on a resin substrate through plasma CVD method to provide a gas barrier film which is excellent in storage stability (especially storage stability under high temperature and high humidity condition).
Thus, a need remains for new metal doped silicon-containing film-forming compositions for use in spin-on deposition applications, which may reduce shrinkage and maintain a wide range of deposition process conditions including gas fill.
Disclosed is a metal doped silicon-containing film-forming composition for forming a metal doped silicon-containing film, the composition comprising:
[(R1)2-mNHm]nSi(R2)4-n,
Disclosed also is a process for forming a metal doped silicon-containing film, the process comprising:
Disclosed is a metal doped silicon-containing film comprising an etch rate selectivity to a film of silicon oxide or silicon nitride is greater than 5; and a film shrinkage ranging from approximately 0% to approximately 40%. The disclosed deposition method may include one or more of the following features:
The following detailed description and claims utilize a number of abbreviations, symbols, and terms, which are generally well known in the art. Certain abbreviations, symbols, and terms are used throughout the following description and claims, and include:
As used herein, the indefinite article “a” or “an” means one or more.
As used herein, “about” or “around” or “approximately” in the text or in a claim means±10% of the value stated.
As used herein, “room temperature” in the text or in a claim means from approximately 20° C. to approximately 25° C.
The term “ambient temperature” refers to an environment temperature approximately 20° C. to approximately 25° C.
Note that herein, the terms “precursor” and “deposition compound” and “deposition gas” may be used interchangeably when the precursor is in a gaseous state at room temperature and ambient pressure. It is understood that a precursor may correspond to, or be related to a deposition compound or deposition gas, and that the deposition compound or deposition gas may refer to the precursor.
Note that herein, the terms “deposition temperature” and “substrate temperature” may be used interchangeably. It is understood that a substrate temperature may correspond to, or be related to a deposition temperature, and that the deposition temperature may refer to the substrate temperature.
Please note that the films or layers deposited, such as silicon oxide or silicon nitride, may be listed throughout the specification and claims without reference to their proper stoichiometry (i.e., SiO, SiO2, SiO3, SisN4). The layers may include oxide (SinOm) layers, or mixtures thereof, wherein m and n inclusively range from 1 to 6. For instance, silicon oxide is SinOm, wherein n ranges from 0.5 to 1.5 and m ranges from 1.5 to 3.5. More preferably, the silicon oxide layer is SiO or SiO2. The silicon oxide layer may be a silicon oxide based dielectric material, such as organic based or silicon oxide based low-k dielectric materials such as the Black Diamond II or III material by Applied Materials, Inc. with a formula of SiOCH. Alternatively, any referenced silicon-containing layer may be pure silicon. Silicon-containing film may also include SiaObC NaHe where a, b, c, d, e range from 0.1 to 6 and b, c, d, e each may be independently 0. Alternatively, any silicon-containing layers may also include dopants, such as B, C, P, As and/or Ge.
The term “substrate” refers to a material or materials on which a process is conducted. The substrate may refer to a wafer having a material or materials on which a process is conducted. The substrates may be any suitable wafer used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing. Examples of suitable substrates include wafers, such as silicon, silica, glass, or GaAs wafers. The substrate may also have one or more layers of differing materials already deposited upon it from a previous manufacturing steps, including silicon-containing films or layers. Examples of suitable layers include without limitation silicon (such as amorphous silicon, p-Si, crystalline silicon, any of which may further be p-doped or n-doped with B, C, P, As, and/or Ge), silica, silicon nitride, silicon oxide, silicon oxynitride, SiaObHcCdNe, (wherein a>0; b, c, d, e≥0), mask layer materials such as amorphous carbon, antireflective coatings, photoresist materials, tungsten, titanium nitride, tantalum nitride or combinations thereof, etch stop layer materials such as silicon nitride, polysilicon, crystalline silicon, silicon carbide, SiCN or combinations thereof, device channel materials such crystalline silicon, epitaxial silicon, doped silicon, SiaObHcCdNe, (wherein a>0; b, c, d, e≥0) or combinations thereof. The silicon oxide layer may form a dielectric material, such as an organic based or silicon oxide based low-k dielectric material (e.g., a porous SiCOH film). For example, the substrates may include silicon layers (e.g., crystalline, amorphous, porous, etc.), silicon-containing layers (e.g., SiO2, SiN, SiON, SiC, SiCN, SiOCN, SiCOH, etc.), metal-containing layers (e.g., copper, cobalt, ruthenium, tungsten, manganese, platinum, palladium, nickel, ruthenium, gold, etc.) or combinations thereof. An exemplary low-k dielectric material is sold by Applied Materials under the trade name Black Diamond II or III. Additionally, layers comprising tungsten or noble metals (e.g. platinum, palladium, rhodium or gold) may be used. Furthermore, examples of the silicon-containing films may be SiaObHcCdNe, (wherein a>0; b, c, d, e≥0). Furthermore, the substrate may be planar or patterned. The substrate may be an organic patterned photoresist film. The substrate may include layers of oxides which are used as dielectric materials in MEMS, 3D NAND, MIM, DRAM, or FeRam device applications (for example, ZrO2 based materials, HfO2 based materials, TiO2 based materials, rare earth oxide based materials, ternary oxide based materials, etc.) or nitride-based films (for example, TaN, TIN, NbN) that are used as electrodes. One of ordinary skill in the art will recognize that the terms “film” or “layer” used herein refer to a thickness of some material laid on or spread over a surface and that the surface may be a trench or a line. Throughout the specification and claims, the wafer and any associated layers thereon are referred to as substrates.
Note that herein, the terms “film” and “layer” may be used interchangeably. It is understood that a film may correspond to, or related to a layer, and that the layer may refer to the film. Furthermore, one of ordinary skill in the art will recognize that the terms “film” or “layer” used herein refer to a thickness of some material laid on or spread over a surface and that the surface may range from as large as the entire wafer to as small as a trench or a line.
The term “wafer”, “patterned wafer” or “workpiece” refers to a wafer having a stack of films on a substrate and at least the top-most film having topographic features that have been created in steps prior to the deposition of the indium containing film. The “wafer” or “patterned wafer” refers to a wafer having a stack of films on a substrate and a patterned hardmask layer on the stack of the films formed for pattern etch.
The term “processing” as used herein includes patterning, exposure, development, etching, deposition, cleaning, and/or removal of by-products, as required in forming a described structure.
The term “mask” refers to a layer that resists etching. The mask layer may be located above the layer to be etched. The mask layer also refers to a hardmask layer.
The term “aspect ratio” refers to a ratio of the height of a trench (or aperture) to the width of the trench (or the diameter of the aperture).
The term “high aspect ratio (HAR)” refers to an aspect ratio ranging from approximately 1:1 to approximately 500:1, preferably from approximately 20:1 to approximately 400:1.
The term “high aspect ratio etching” refers to the formation of a hole pattern in a target film by plasma etching method when aspect ratio of formed hole structures is exceeding value of 5.
Note that herein, the terms “aperture”, “via”, “hole”, “trench” and “structure” may be used interchangeably, and generally mean an opening formed in a semiconductor structure and/or in an interlayer insulator.
The term “gap” refers to an opening on a substrate and represents an aperture, via, hole, trench, structure etc. throughout the specification and claims.
The term “gap filling” refers to an opening in a substrate being filled with a deposition precursor or a gap filling material through a deposition process.
The terms “dope” or “doping” is used interchangeably to the process of incorporation of one or more elements into a film through various methods where that element may be chemically bond or physically bond, and the process of intentionally incorporating atoms of different elements into the film composition. The element(s) may be doped interstitial or substitutional within the film.
As used herein, the abbreviation “NAND” refers to a “Negated AND” or “Not AND” gate; the abbreviation “2D” refers to 2 dimensional gate structures on a planar substrate; the abbreviation “3D” refers to 3 dimensional or vertical gate structures, wherein the gate structures are stacked in the vertical direction.
As used herein, the term “formulation” refers to a polymer solution comprising of an oligocarbosilazane, polycarbosilazane, polysilazane, polycarbosilane and polysilane in a solvent.
As used herein, the term “film-forming composition” refers to a mixture of components used for deposition that may contain precursors, catalysts, surfactants, wetting agents, and other polymers, oligomers or monomers such as, but is not limited to, polysilazane, polycarbosilanes, polysilanes, etc.
As used herein, the term “hydrocarbon” refers to a saturated or unsaturated function group containing exclusively carbon and hydrogen atoms. As used herein, the term “alkyl group” refers to saturated functional groups containing exclusively carbon and hydrogen atoms. An alkyl group is one type of hydrocarbon. Further, the term “alkyl group” refers to linear, branched, or cyclic alkyl groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, propyl groups, butyl groups, etc. Examples of branched alkyls groups include without limitation, t-butyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, etc.
As used herein, the term “hydrofluorocarbon” refers to a saturated or unsaturated function group containing exclusively carbon, fluoride and hydrogen atoms.
As used herein, the term “fluorocarbon” refers to a saturated or unsaturated function group containing exclusively fluoride and hydrogen atoms.
As used herein, the term “alkyl group” refers to saturated functional groups containing exclusively carbon and hydrogen atoms. An alkyl group is one type of hydrocarbon. Further, the term “alkyl group” refers to linear, branched, or cyclic alkyl groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, propyl groups, butyl groups, etc. Examples of branched alkyls groups include without limitation, t-butyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, etc.
As used herein, the abbreviation “Me” refers to a methyl group; the abbreviation “Et” refers to an ethyl group; the abbreviation “Pr” refers to any propyl group (i.e., n-propyl or isopropyl); the abbreviation “iPr” refers to an isopropyl group; the abbreviation “Bu” refers to any butyl group (n-butyl, iso-butyl, tert-butyl, sec-butyl); the abbreviation “tBu” refers to a tert-butyl group; the abbreviation “sBu” refers to a sec-butyl group; the abbreviation “iBu” refers to an iso-butyl group; the abbreviation “Ph” refers to a phenyl group; the abbreviation “Am” refers to any amyl group (iso-amyl, sec-amyl, tert-amyl); the abbreviation “Cy” refers to a cyclic hydrocarbon group (cyclobutyl, cyclopentyl, cyclohexyl, etc.); the abbreviation “Ar” refers to an aromatic hydrocarbon group (phenyl, xylyl, mesityl, etc.).
The standard abbreviations of the elements from the periodic table of elements are used herein. It should be understood that elements may be referred to by these abbreviation (e.g., Si refers to silicon, N refers to nitrogen, O refers to oxygen, C refers to carbon, H refers to hydrogen, F refers to fluorine, etc.).
The term “independently” when used in the context of describing R groups should be understood to denote that the subject R group is not only independently selected relative to other R groups bearing the same or different subscripts or superscripts, but is also independently selected relative to any additional species of that same R group. For example in the formula MR1x(NR2R3)(4-x), where M is an atom, x is 2 or 3, the two or three R1 groups may, but need not be identical to each other or to R2 or to R3. Further, it should be understood that unless specifically stated otherwise, values of R groups are independent of each other when used in different formulas.
Ranges may be expressed herein as from about one particular value, and/or to about another particular value. When such a range is expressed, it is to be understood that another embodiment is from the one particular value and/or to the other particular value, along with all combinations within said range. Any and all ranges recited herein are inclusive of their endpoints (i.e., x=1 to 4 or x ranges from 1 to 4 includes x=1, x=4, and x=any number in between), irrespective of whether the term “inclusively” is used.
Optional or optionally means that the subsequently described event or circumstances may or may not occur. The description includes instances where the event or circumstance occurs and instances where it does not occur.
Reference herein to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment may be included in at least one embodiment of the invention. The appearances of the phrase “in one embodiment” in various places in the specification are not necessarily all referring to the same embodiment, nor are separate or alternative embodiments necessarily mutually exclusive of other embodiments. The same applies to the term “implementation.”
As used in this application, the word “exemplary” is used herein to mean serving as an example, instance, or illustration. Any aspect or design described herein as “exemplary” is not necessarily to be construed as preferred or advantageous over other aspects or designs. Rather, use of the word exemplary is intended to present concepts in a concrete fashion.
Additionally, the term “or” is intended to mean an inclusive “or” rather than an exclusive “or”. That is, unless specified otherwise, or clear from context, “X employs A or B” is intended to mean any of the natural inclusive permutations. That is, if X employs A; X employs B; or X employs both A and B, then “X employs A or B” is satisfied under any of the foregoing instances. In addition, the articles “a” and “an” as used in this application and the appended claims should generally be construed to mean “one or more” unless specified otherwise or clear from context to be directed to a singular form.
“Comprising” in a claim is an open transitional term that means the subsequently identified claim elements are a nonexclusive listing (i.e., anything else may be additionally included and remain within the scope of “comprising”). “Comprising” is defined herein as necessarily encompassing the more limited transitional terms “consisting essentially of” and “consisting of”; “comprising” may therefore be replaced by “consisting essentially of” or “consisting of” and remain within the expressly defined scope of “comprising”.
“Providing” in a claim is defined to mean furnishing, supplying, making available, or preparing something. The step may be performed by any actors in the absence of express language in the claim to the contrary.
For a further understanding of the nature and objects of the present invention, reference should be made to the following detailed description, taken in conjunction with the accompanying drawings, in which like elements are given the same or analogous reference numbers and wherein:
Disclosed are spin-coatable metal-containing silicon-containing film-forming compositions and processes of using them. More specifically, the disclosed are spin-on metal doped silicon-containing film-forming compositions or metal doped silicon-containing film-forming compositions for forming metal doped silicon-containing films. The metal doped silicon-containing film may a SiMOx, SiMOxNy, SiMOxCy, SiMNx, or SiMOxCyNz film used for photolithographic processes and direct patternable layer, for via or trench filling, for anti-reflective coatings, optical and multi-color pattern etch applications, in which M is selected form Al, Ti, Zr, Sn, Ni, W, Hf, Ta, B, Ga, Cr, Ge, In, or the like and x, y and z each are an integer. The disclosed includes preparation of the spin-coatable metal doped silicon-containing film-forming compositions from alkyl metal, alkyl metalloxane or polymetalloxane solutions in presence of a silicon precursor to form a film, which has high metal-oxide and/or metal-nitride content. Specifically, SiMOx films form good quality films when applied from a solvent onto a substrate demonstrating good dry etch resistance in fluoride-containing plasmas. The SiMOx materials may be easily removed using standard wet chemicals such as SC1 and diluted HF. The SiMOx materials may be used as gap fill materials to fill particular openings in a given relief pattern, enabling gap-free or void-free filling. The SiMOx materials may be used as hardmasks or hasmask layers as well. The SiMOx materials are soluble in organic solvents and may act as via and trench filling materials for photoresist substrate or under layer materials.
The disclosed metal doped silicon-containing film-forming composition contains a novel spin-on metal doped silicon oxide precursor to generate SiMOx (M is selected form Al, Ti, Zr, Sn, Ni, W, Hf, Ta, B, Ga, Cr, Ge, In, or the like) films that possess good etch selectivity and may be removed by standard wet chemistries. The disclosed novel spin-on metal doped silicon dioxide precursor may be a monomer, oligomer or polymer.
To achieve the foregoing and other objects, as embodied and broadly described herein, the disclosed provides a process of forming a metal doped silicon oxide film, which comprises applying a solution of the metal doped silicon-containing film-forming composition onto a substrate, the metal doped silicon-containing film-forming composition may contain an alkyl metal or alkyl metalloxane polymer modified with one or more silicon-containing precursors; forming a deposited layer or a metal-containing layer or a silicon- and metal-containing layer on the substrate, the deposited layer contains inorganic and organic components; and heating the substrate in an oxygen- or nitrogen-containing atmosphere for a sufficient length of time at temperatures characterized as sufficient to remove the organic component from the deposited layer and form a metal doped silicon oxide film thereon. The metal may be selected form Al, Ti, Zr, Sn, Ni, W, Hf, Ta, B, Ga, Cr, Ge, In, or the like. Prior to applying the solution of the metal doped silicon-containing film-forming composition onto the surface, the surface of the substrate is treated to reduce its surface energy, e.g. by plasma, wet chemistry treatment, surface pre-wet, UV/O3 cleaning treatment, or the surface of the substrate contacts an adhesion promoter to increase the surface energy of the substrate.
The disclosed further provides a process of forming an aluminum doped silicon oxide film by applying a solution of an aluminum-containing film-forming composition onto a substrate, the aluminum-containing film-forming composition contains an alkyl aluminum or alkyl aluminumoxane polymer modified with one or more silicon-containing precursors; forming an aluminum-containing deposited layer on the substrate, the aluminium-containing deposited layer contains inorganic and organic components; and heating the substrate for a sufficient length of time at temperatures characterized as sufficient to remove the organic components from the aluminum-containing deposited layer and form the aluminum doped silicon oxide film. Prior to applying the solution of the aluminum-containing film-forming composition onto the surface, the surface of the substrate is treated to reduce its surface energy, e.g. by plasma, wet chemistry treatment, surface pre-wet, UV/O3 cleaning treatment, or the surface of the substrate contacts an adhesion promoter to increase the surface energy of the substrate.
The disclosed further provides a process of forming a metal doped silicon nitride or metal doped silicon oxynitride film by applying a solution of the spin-on metal-containing silicon-containing film-forming composition onto a substrate, the spin-on metal-containing silicon-containing film-forming composition includes an alkyl metal or alkyl metalloxane polymer modified with one or more silicon-containing precursors in which the one or more silicon-containing precursors contain nitrogen from an N-source listed below; forming a metal nitride or metal oxynitride deposited layer thereon, the metal nitride or metal oxynitride layer contains inorganic and organic poertions, and heating the substrate for a sufficient length of time at temperatures characterized as sufficient to remove the organic portion from the metal nitride or metal oxynitride deposited layer and form the metal doped silicon nitride film SiMNx or metal doped silicon oxynitride film SiMNxOy (x and y are integers). The metal may be selected form Al, Ti, Zr, Sn, Ni, W, Hf, Ta, B, Ga, Cr, Ge, In, or the like. Prior to applying the solution of the metal doped silicon-containing film-forming composition onto the surface, the surface of the substrate is treated to reduce its surface energy, e.g. by plasma, wet chemistry treatment, surface pre-wet, UV/O3 cleaning treatment, or the surface of the substrate contacts an adhesion promoter to increase the surface energy of the substrate.
The treating process described above includes cleaning the substrate with UV-ozone and/or HF, baking the substrate, and/or adding an adhesion layer to the substrate, etc. The adhesion layer may be formed with silane coupling reagents that may enhance adhesion of the composition or material to the substrate. In addition, aminofunctional trialkoxysilanes such as hexamethyldisilazane (HMDS), aminopropyltriethoxysilane (APTES), aminopropyltrimethoxysilane (APTMS) and (3-trimethoxysilylpropyl) diethylenetriamine (DETAS) may be employed as a surface modification molecule for generating monolayer modification on the surface of the substrate.
The disclosed relates to metal doped silicon-containing film-forming compositions or spin-on metal doped silicon-containing film-forming compositions are formed from alkyl metal, alkyl metalloxane or polymetalloxane solutions in presence of silicon precursors to form a film, which has high metal-oxide and/or metal-nitride content. The disclosed relates to a novel metal doped silicon-containing film-forming composition comprising i) at least one silicon precursor, ii) a metal precursor; and iii) a solvent. The metal precursor may be an alkyl metal, alkyl metalloxane or polyalkylmetalloxane.
The disclosed metal doped silicon-containing film-forming composition contains between approximately 0.5% w/w to approximately 99.5% w/w of the metal precursor, preferably between approximately 10% w/w to approximately 90% w/w of the metal precursor.
The disclosed at least one silicon precursor may contains nitrogen and/or oxygen.
The disclosed at least one silicon precursor may be a monomer, oligomer or polymer.
The disclosed at least one silicon precursor may be polysilanes, polysilazanes, aminosilanes, aminopolysilanes, alkoxysilanes, alkoxypolysilanes, or the like.
The disclosed at least one silicon precursor may be selected from the following compounds.
1) A compound comprising an aminosilane having the formula:
[(R1)2-mNHm]nSi(R2)4-n,
wherein each R1 is independently selected from alkyl groups of C1 to C6; each R2 is independently selected from the group consisting of hydrogen, alkyl, vinyl, allyl, and phenyl; and n=1-4, m=0 or 1.
Exemplary compounds include Tetrakis(dimethylamino) silane and tris(dimethylamino) silane.
2) A compound comprising an aminopolysilane with a repeating unit having the formula:
[((R1)2-mNHm)nSip(R2)q]—,
Exemplary compounds include poly(1,1-dimethylsilazane) and polyvinylsilazane.
3) A compound comprising an alkoxysilane having the formula:
[R1O]nSi(R2)4-n,
4) A compound comprising an alkoxypolysilane with a repeating unit having the formula:
—[(R1O)nSip(R2)q]—,
Exemplary compounds include ethoxy-nonamethyltetrasilane and methoxy octamethyltetrasilane.
5) A compound comprising NH— and silicon-containing molecules, including polysilazane, with a repeating unit selected from the general formulae (1a), (1b) and (1c), below and a terminal group of the formula —NH2 or —SiH3. Exemplary compound includes perhydropolysilazane.
6) A compound comprising a polysilane with a repeating unit having the formula:
—[SiR1n]—,
Exemplary compounds include polymethylsilane and poly(methylphenyl) silane.
7) A compound comprising a polysilazane with a repeating unit selected from the general formulae (2a), (2b), (2c), (2d), (2e), or (2f) below and a terminal group of —SiH3:
U.S. Pat. No. 10,647,578B2 is related to this type of compounds. To our knowledge, this type of compounds has not been commercially available up to now.
8) A compound comprising a polysiloxane with a repeating unit having the formula:
—[O—(R1O)nSi(R2)2-n]—,
wherein R1 is selected from hydrogen or alkyl groups of C1 to C6; each R2 is independently selected from hydrogen, alkyl, vinyl, allyl, and phenyl; and n=0, 1 or 2; and
Exemplary compounds include Polymethylhydroxosiloxane, polydimethylsiloxane, poly(ethyl methyl) siloxane and Polymethylhydrosiloxane.
The amount of the disclosed at least one silicon precursor in the metal-containing silicon-containing film-forming composition is from about 0.005 to 60 mol % based on the mole of the metal precursor present, preferably from 0.01 to 40 mol %, based on the mole of the metal precursor present.
The disclosed metal precursor for the metal-containing silicon-containing film-forming composition may have a molecular weight about 200 to 1,200 dalton. The metal precursor may be cross-linked as a polymer having a high molecular weight within a short time during the heat treatment to provide excellent characteristics required for the hardmask layer such as excellent mechanical characteristics, heat resistance, chemical resistance, and etch resistance.
Here commercially available alkyl metalloxanes or polyalkylmetalloxanes may be selected as the metal precursors for forming the metal doped silicon-containing films, but are not limited to. Examplary commercially available alkyl metalloxanes or polyalkylmetalloxanes include polymethylaluminoxane, methylaluminoxane, modified methylaluminoxane, isobutylaluminoxane, or tetraisobutyldialuminoxane. The formed metal doped silicon-containing films may be SiAlOx films, where x is an integer.
In addition, commercially available alkyl metals may be selected as the metal precursors for forming the metal doped silicon-containing films, but are not limited to. Exemplary commercially available alkyl metals include trimethylaluminum, triethylaluminum, trioctylaluminum, or tri(Isobutyl)aluminum. The formed metal doped silicon-containing films may be SiAlOx films, where x and y each are an integer.
The disclosed metal doped silicon-containing film-forming compositions may comprise from 0.01% wt/wt to 80% wt/wt of a metal, preferably from 10% wt/wt to 50% wt/wt, and more preferably from 15% wt/wt to 45% wt/wt. Here, the metal is selected from Al, Ti, Zr, Sn, Ni, W, Hf, Ta, B, Ga, Cr, Ge, In, or the like.
In the disclosed metal doped silicon-containing film-forming compositions, the amount of the at least one silicon-containing precursor is from about 0.005 to 60 mol % of the metal precursor, preferably from about 0.01 to 40 mol % of the metal precursor.
The third component of the disclosed metal doped silicon-containing film-forming compositions is a solvent or a mixture of solvents which dissolves the solid components of the composition and is chemically inert with respect to the other ingredients of the composition. The solvent has different boiling points in order to adjust the metal doped silicon-containing film-forming composition's properties, such as viscosity or layer thickness. Exemplary solvents include hydrocarbons, such as pentane, hexanes, heptanes, benzene, toluene, xylene, mesitylene, other alkanes, or combinations thereof. Other suitable solvents include halohydrocarbons such as dichloromethane or chloroform; ethers such as tetrahydrofuran (THF), or methyl tert-butyl ether, and more generally aprotic solvents, such as acetonitrile, benzene, dimethylformamide, hexamethylphosphoramide, dimethyl sulfoxide, or combinations thereof. The solvent can also be an alcohol, an ether, an ester, a ketone, an amide, or a diketone. Specific non-limiting examples of suitable solvents are lower alcohols (C1-C6) such as isopropanol, n-butanol, t-butanol, 1-pentanol and 4-methyl-2-pentanol, a glycol such as ethylene glycol and propylene glycol, diketones such as diacetyl, acetylacetone, and hexane-2,5-dione, a glycol ether derivative such as 2-ethoxyethanol, 2-methoxyethanol, propylene glycol monomethyl ether (PGME), diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, dipropylene glycol dimethyl ether, propylene glycol n-propyl ether, or diethylene glycol dimethyl ether; a glycol ether ester derivative such as propylene glycol monomethyl ether acetate (PGMEA); carboxylates such as ethyl acetate, n-butyl acetate and amyl acetate; carboxylates of di-basic acids such as diethyl oxylate and diethylmalonate; dicarboxylates of glycols such as ethylene glycol diacetate and propylene glycol diacetate; and hydroxy carboxylates such as methyl lactate, ethyl lactate, ethyl glycolate, and ethyl-3-hydroxy propionate; a ketone ester such as methyl pyruvate or ethyl pyruvate; an alkoxy alcohol such as 1-methoxy-2-propanol, 2-methoxyethanol, ethoxyethanol, an alkoxycarboxylic acid ester such as methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, ethyl 2-hydroxy-2-methylpropionate, or methylethoxypropionate; a ketone derivative such as methyl ethyl ketone, acetyl acetone, cyclopentanone, cyclohexanone or 2-heptanone; a ketone ether derivative such as diacetone alcohol methyl ether; a ketone alcohol derivative such as acetol or diacetone alcohol; lactones such as butyrolactone, gamma-butyrolactone and gamma-velaro lactone; aromatic solvents such as anisole, and mixtures thereof. Alternatively, the solvents may be selected from alcohols, glycols, glycol ether derivatives, glycol ether ester derivatives, aromatic solvents, saturated hydrocarbon compounds, unsaturated hydrocarbon compounds, ethers, esters, ketones or mixtures thereof.
The solvent should have a boiling point typically comprised between 50° C. and 250° C., more preferably between 70° C. and 180° C. In order to generate dense films, the solvent is selected so as to evaporate during a pre-bake step, typically performed at a temperature ranging from 40° C. to 220° C., preferably between 80° C. and 200° C. The solvent or solvent mixture selection is also guided by the need to dissolve the metal precursor and crosslinker. As such, the solvent may be a polar or a non-polar solvent, or a mixture of polar and non-polar solvent. Hydrocarbons, toluene, xylene, mesitylene are typical non-polar solvent, while tertiary amines, ethers and halocarbons are polar solvents.
In order to have good processing characteristics, metal doped silicon-containing film-forming compositions may have a suitable viscosity.
The disclosed metal doped silicon-containing film-forming compositions are particularly suitable for gap fill applications on holes, vias and trenches in semiconductor devices, including sacrificial films or leave behind films without generation of defects. The disclosed metal doped silicon-containing film-forming compositions are capable of filling structures with small openings or apertures such as a trench, typically having a critical dimension ranging from 1 nm to 10 μm, preferably 10 nm to 1000 nm, and an aspect ratio ranging from approximately 1:1 to approximately 200:1, without defects, voids, delamination, cracks, and seams, as required by gap fill applications. Additionally, the disclosed metal doped silicon-containing film-forming compositions may be converted to dense, low-stress, low dry etch rate metal oxide or metal nitride films at the lowest possible temperature. The resulting films may have a uniform elemental distribution along the structure depth. Low shrinkage achieved with the disclosed metal doped silicon-containing film-forming composition, the absence of insoluble products and particles, and its ability to easily convert to a solid and dense film, make such composition or formulation particularly suitable for semiconductor gap fill applications. Shrinkage of metal doped silicon oxide or metal doped silicon nitride films is normally detrimental for semiconductor applications since it results in stress in the resulting cured film. This stress may lead to voids, pinholes, and cracks. The resulting film may also be used as a hardmask layer. A ratio of etch rate of the resulting film to a targeted film is greater than 5. Here, the resulting film is a metal doped silicon-containing film and the target film may be a silicon containing film, such as silicon oxide, silicon nitrile or silicon oxynitrile film.
The disclosed metal doped silicon-containing film-forming compositions may be applied using a spin-on coating method. Once the metal doped silicon-containing film-forming composition is deposited as a metal doped silicon-containing layer, the metal doped silicon-containing layer may be heat-treated at about 100 to about 1500° C. for about 10 seconds to 3 hours. The thickness of the metal doped silicon-containing layer may be, for example, about 50 Å to about 10,000 Å.
The substrate may be, for example low dielectric constant materials, silicon, silicon substrates, copper coated silicon wafer, copper, aluminum, polymeric resins, silicon dioxide, metals, doped silicon dioxide, silicon nitride, tantalum, polysilicon, ceramics, aluminum/copper mixtures, any of the metal nitrides such as aluminum nitride AlN; gallium arsenide and other such Group III/compounds, or a glass substrate. Silane coupling reagents may be applied to enhance adhesion of deposition materials to be deposited to the substrate. Suitable silane coupling reagents may be aminofunctional trialkoxysilanes, such as hexamethyldisilazane (HMDS), aminopropyltriethoxysilane (APTES), aminopropyltrimethoxysilane (APTMS) and (3-trimethoxysilylpropyl) diethylenetriamine (DETAS), which may be employed as a surface modification molecule for generating monolayer modification on the surface of the substrate.
The disclosed metal doped silicon-containing film-forming compositions may comprise a dissolved catalyst and/or a surfactant combined with a metal-containing oligomer or polymer having a molecular weight ranging from approximately 200 dalton to approximately 500,000 dalton. Various families of catalysts, such as a crosslinking catalyst, including amines, boranes, and organometallics, have been used to catalyze metal oligo/polymers from molecular precursors and affect the cross-linking. The crosslinking catalyst is present in an amount of 0.01 to 10 parts by weight, based on 100 parts by weight of the metal precursor.
The surfactant may be included in an amount of about 0.001 to 5% of the composition. The surfactant may be added to the disclosed metal doped silicon-containing film-forming compositions for gap filling to lower the surface tension of the composition and improve the gap-filling properties of the composition. The surfactant is preferably added in a concentration of 0.001% to 5% weight of the metal precursor in the composition.
Suitable surfactants include: i) non-ionic surfactants, such as, polyglycerol alkyl ethers, glucosyl dialkyl ethers, crown ethers, ester-linked surfactants, polyoxyethylene alkyl ethers, sorbitan esters (e.g., manufactured by Brij and Spans) and Polysorbates (e.g., manufactured by Tweens); ii) unsaturated fatty amine; iii) fluorinated surfactants; and iv) silicon-based surfactants, such as organosiloxane polymer. These surfactants may be used alone or in combination with of two or more thereof.
The disclosed metal doped silicon-containing film-forming compositions may be stored under an inert atmosphere in dried glass, plastic bottles, such as NOWPak® bottles from Entegris, made of HDPE, PTFE, PE, or stainless steel canisters at temperatures ranging from approximately 0° C. to approximately room temperature. If necessary, the stainless steel canisters may be coated and/or passivated to minimize any reaction between the metal-containing composition and the canisters.
The disclosed metal doped silicon-containing film-forming compositions may also be used in coating deposition processes to form metal doped silicon oxide, metal doped silicon nitrile, metal doped silicon oxynitride, metal doped carbonitride, metal doped carboxide, metal doped oxycarbonitride films used in the electronics and optics industry. For example, the metal doped silicon oxide films are obtained from thermal treatment of a deposited film under an oxidative atmosphere, containing at least one of O2, O3, ambient air, compressed dry air, humid air, H2O, H2O2, organic peroxides, NO, N2O, NO2, CO, CO2, SO2 and combinations thereof. The disclosed metal doped silicon-containing film-forming compositions may also be used to form protective coatings or pre-ceramic materials (i.e., nitrides and oxynitrides) for use in the aerospace, automotive, military, or steel industry or any other industry requiring strong materials capable of withstanding high temperatures. Preferably the resulting film is 100% amorphous, but different crystalline phases might be present, making the film partially amorphous.
The metal doped silicon-containing film-forming compositions may be deposited or coated onto a patterned and blank substrate using techniques well known to those skilled in the art. The patterned substrate, for example, with aspect ratio ranges from 1:1 to approximately 200:1, may be any patterned substrate with features composed of vias, trenches, holes, and/or other hollow topographical features. The film thickness of the coating on patterned substrates ranges from about 5 nm to about 1000 nm. Thicker films may also be formed making multiple-stacks spin according to required thicknesses and applications. The coating may be further heated on a hot plate, hot wall chamber, cold wall chamber, tube furnace, UV curing systems, rapid thermal annealing systems or convection oven for a sufficient length of time to remove a majority of the solvent and optionally to induce curing. The baking temperature may be from about 40° C. to about 1500° C., preferably 200° C. to 800° C. for about 30 seconds to about 2 hours. For example, for metal oxide film, the composition of the film after baking contains between about 5 to about 90 wt % of total metal doped silicon-containing films.
Examples of suitable coating methods include spin coating, dip coating, spray coating, fiber spinning, extrusion, molding, casting, impregnation, roll coating, transfer coating, slit coating, etc. For usage in non-semiconductor applications, the disclosed metal doped silicon-containing film-forming compositions may also contain a filler. The coating method is preferably spin coating in order to provide suitable film thickness control and gap-fill performance.
The disclosed metal doped silicon-containing film-forming compositions may be applied directly to the center of the substrate and then spread to the entire substrate by spinning or may be applied to the entire substrate by spraying. When applied directly to the center of the substrate, the substrate may be spun to utilize centrifugal forces to evenly distribute the composition over the substrate. The viscosity of the metal doped silicon-containing film-forming compositions will contribute as to whether rotation of the substrate is necessary. Alternatively, the substrate may be dipped in the disclosed metal doped silicon-containing film-forming compositions. The resulting films may be dried at room temperature for a sufficient length of time to vaporize the solvent or volatile components of the film or dried by force-drying or baking or by the use of one or a combination of any following suitable process including thermal curing and irradiations, such as, ion irritation, electron irradiation, UV and/or visible light irradiation, etc.
The metal doped silicon-containing film-forming compositions may also be used for the formation of transparent metal oxide films suitable for optics applications. In semiconductor applications, the metal doped silicon-containing film-forming compositions may be used for forming sacrificial layers such as etching hard masks, ion implantation masks, anti-reflective coatings, tone inversion layers. Alternatively, the metal doped silicon-containing film-forming compositions may be used for forming non-sacrificial, such as gap-fill oxide layer, etch stop layers.
The process of forming the metal doped silicon oxide film further comprises one or several curing steps such as thermal curing, photon curing, microwave curing, annealing, laser treatment, etc.
Next, a planar or patterned substrate on which the Si-containing film is to be deposited may be cleaned or prepared for the deposition process in Step 1. High purity gases and solvents are used in the preparation process. Gases are typically of semiconductor grade and free of particle contamination. For semiconductor usage, solvents should be particle free, typically less than 100 particle/ml (0.5 μm particle, more preferably less than 10 particles/mL) and free of non-volatile residues that would lead to surface contamination. Semiconductor grade solvents having less than 50 ppb metal contamination (for each element, and preferably less than 5 ppb) are preferred.
In Step 1, the substrates (planar or patterned substrates) or wafers is cleaned using typical chemical cleaning agents in the art, such as, isopropanol (IPA), acetone or the like. The cleaning step is mainly to remove any contaminations on the substrates surface. One of ordinary skill in the art may determine the appropriate wafer preparation process based at least upon the substrate material and degree of cleanliness required. After the substrate cleaning preparation, the clean substrate is then transferred into a spin coater at Step 2. A liquid form or solution of the metal doped film-forming composition is dispensed onto the substrate. The wafer substrate is spun in Step 3. The spin rates may be adjusted typically from 1000 rpm to 10000 rpm. The substrate is spun until a uniform Si-containing film formed on the entire surface of the substrate. The spinning time may vary from 10 s to 3 min. One of ordinary skill in the art will recognize that this spin-on deposition process may be conducted either in a static mode (sequentially) or a dynamic mode (concurrently). This spin-on deposition is preferred to be conducted in a controlled gas environment. For example, in a controlled O2 level or H2O level. A metal doped silicon-containing film or a deposition film on the substrate is formed after this spin-on deposition.
After the metal doped silicon-containing film is formed on the substrate, the substrate is pre-baked or soft baked at Step 4 to remove any remaining volatile organic components of the metal doped silicon-containing film-forming composition and/or by-products from the spin-coating process. The pre-bake may take place in a thermal chamber or on a hot plate at a temperature ranging from approximately 40° C. to approximately 250° C. for a time period ranging from approximately 1 minute to approximately 30 minutes. After pre-bake, the Si-containing film on the substrate is then cured to a desired dielectric film, such as a SiOC film, through a hard bake process (Step 5).
In Step 5, the substrate is hardbaked to produce the desired dielectric film. The hard bake process may be carried out by thermal annealing at a temperature ranging from approximately 200° C. to approximately 1500° C. for a sufficient length of time ranging from approximately 30 minutes to approximately 4 hours. Three non-limiting options, thermal curing, UV curing or UV-thermal curing in presence of reactive gas/gases, are shown in
Briefly, the liquid form of the disclosed metal doped silicon-containing film-forming composition may be applied directly to the center of the substrate and then spread to the entire substrate by spinning or may be applied to the entire substrate by spraying. Alternatively, the substrate may be dipped in the metal doped silicon-containing film-forming composition. The resulting film may be dried at room temperature for a sufficient length of time to vaporize the solvent or volatile components of the film or dried by force-drying or baking or by the use of one or a combination of any following suitable process including thermal curing and irradiations, such as UV irradiation.
Exemplary reactive gases that introduce oxygen into the resulting film include oxygen-containing gases or an oxidizing agent, such as O2, O3, ambient air, compressed dry air, humid air, H2O, H2O2, organic peroxides such as N2O, NO, NO2, CO, CO2, SO2 and combination thereof. Under an O2/Ar, the curing temperature may range for approximately 40° C. to approximately 1500° C. Alternatively, curing may occur under a H2O2 at temperatures ranging from approximately 200° C. to approximately 800° C.
Exemplary reactive gases that introduce carbon into the resulting film include carbon-containing gases, and specifically unsaturated carbon-containing gases, such as alkenes and alkynes (ethylene, acetylene, propylene, etc.).
Exemplary reactive gases that introduce nitrogen into the film may have at least one N—H bond to enable the nitriding to proceed, i.e., nitridation process. For a completely C-free film, this means that the curing gas may comprise NH3 or Hydrazines (R2N—NR2, wherein R is alkyl or aryl substituents). Alternatively, C-containing N-sources may be used, but may yield some C in the film. Exemplary C-containing N-sources include substituted hydrazines, (i.e., N2R4, wherein each R is independently H or a C1-C4 hydrocarbon, provided that at least one R is H) (e.g., H2N—NH2, MeHNNH2, Me2NNH2, MeHNNHMe, phenyl hydrazine, t-butyl hydrazine, 2-cyclohexyl-1,1-dimethyhydrazine, 1-tert-butyl-1,2,2-trimethylhydrazine, 1,2-diethylhydrazine, 1-(1-phenylethyl) hydrazine, 1-(2-methylphenyl) hydrazine, 1,2-bis(4-methylphenyl) hydrazine, 1,2-bis(trityl) hydrazine, 1-(1-methyl-2-phenylethyl) hydrazine, 1-Isopropylhydrazine, 1,2-Dimethylhydrazine, N,N-Dimethylhydrazine, 1-Boc-1-methylhydrazine, Tetramethylhydrazine, Ethylhydrazine, 2-Benzylidene-1,1-dimethylhydrazine, 1-Benzyl-2-methylhydrazine, 2-Hydrazinopyrazine), primary or secondary amines (i.e., HxNR3-x, wherein each R is independently a C1-C4 hydrocarbon and x is at 1 or 2) (e.g., NMeH2, NEtH2, NMe2H, NEt2H, (SiMe3)2NH, n-Butylamine, Sec-Butylamine, Tert-Butylamine, Dibutylamine, Diisopropylamine, N,N-Diisopropylethylamine, N,N-dimethylethylamine, Dipropylamine, Ethylmethylamine, Hexylamine, Isobutylamine, Isopropylamine, Methylhexanamine, Pentylamine, Propylamine, cyclic amines like pyrrolidine or pyrimidine), ethylene diamines (i.e., R2N—C2H4—NR2 wherein each R is independently H, a C1-C4 hydrocarbon with the proviso that at least one R is H) (e.g., ethylene diamine, N,N′-dimethylethylene diamine, tetramethylethylenediamine), pyrazoline, pyridine, radicals thereof, or mixtures thereof. If the desired metal doped silicon-containing film also contains oxygen, C-containing N-source may include H2N—CxH2x—OH, with x=1-4 hydrocarbon, such as ethanolamine. Preferably the reactive gas is NH3 or Hydrazines, radicals thereof, or mixtures thereof.
The substrate after spin-on coating or deposition is subject to thermal curing at a temperature ranging from approximately 40° C. to approximately 1,500° C., preferably from approximately 200° C. to approximately 800° C., under an inert or reactive gas. A furnace or rapid thermal processor may be used to perform the thermal curing process. Exemplary furnaces include the ThermoFisher Lindberg/Blue M™ tube furnace, the Thermo Scientific Thermolyne™ benchtop tube furnace or muffle furnace, the Inseto tabletop quartz tube furnace, the NeyTech Vulcan benchtop furnace, the Tokyo Electron TELINDY™ thermal processing equipment, or the ASM International ADVANCE® vertical furnace. Exemplary rapid thermal processors include Solaris 100, ULVAC RTP-6, or Annealsys As-one 100.
The substrate after spin-on coating or deposition is subject to UV-curing at a wavelength ranging from approximately 172 nm to approximately 400 nm using a monochromatic or polychromatic source. Exemplary VUV- or UV-curing systems suitable to perform the UV curing include, but are not limited to, the Nordson Coolwaves® 2 UV curing system, the Heraeus Noblelight Light Hammer® 10 product platform, or the Unicure system from USHIO.
In another alternative, the thermal and UV curing may be performed simultaneously or sequentially. The choice of curing methods and conditions will be determined by the target metal doped silicon-containing film desired.
In another alternative, the thermal curing process may proceed in a stepwise fashion. More particularly, the thermal curing may start at a temperature ranging from approximately 40° C. to approximately 500° C. under an inert or reactive gas for a time period ranging from approximately 10 to approximately 30 minutes. The temperature may be increased by approximately 50° C. to approximately 1000° C. and maintained for an additional 10 to 30 minutes. Additional incremental temperature increases may be used, if necessary. Alternatively, the temperature may be increased using a specified ramp and then maintained at specific temperatures for a short period of time. For example, the wafer may be placed in a room temperature chamber being heated at a ramping rate of approximately 1° C./minute to approximately 70° C./minute, preferably from approximately 5° C./minute to approximately 40° C./minute, and more preferably from approximately 10° C./minute to approximately 20° C./minute. Once the temperature reaches the desired heating temperature, for example approximately 100° C. to approximately 400° C., the ramping may be stopped for a specified period of time, for example ranging from approximately 5 minutes to approximately 120 minutes. The same or a different ramping temperature rate may then be used to increase the chamber temperature to the next desired heating temperature, for example approximately 300° C. to approximately 600° C. and be maintained for another specified period of time, for example ranging from approximately 5 minutes to approximately 120 minutes. This may be repeated for again if a third heating temperature is desired, for example approximately 500° C. to approximately 1,300° C. and maintained for another specified period of time, for example ranging from approximately 5 minutes to approximately 300 minutes.
In yet another alternative, the curing may use a slow, steady heating ramp without any specified time spent at any specific temperature (e.g., approximately 0.5/minute to approximately 3° C./minute). Once curing is complete, the furnace is allowed to cool to room temperature at a cooling rate ranging from approximately 1° C./minute to approximately 100° C./minute. Applicants believe that any of these thermal curing steps may help to reduce formation of cracks and voids in the resulting film.
Additionally, shrinkage may be further reduced by controlling the O2:H2O volume ratio when an oxygen-containing atmosphere is required. Preferably, the O2:H2O ratio ranges from approximately 6:1 to approximately 2.5:1. Alternatively, shrinkage may be reduced using an H2O2:H2O atmosphere. The disclosed metal doped silicon-containing film-forming compositions may provide oxide shrinkage ranging from approximately-5% to approximately 20%, preferably from approximately 0% to approximately 10%, and more preferably from approximately 0% to approximately 5%. After curing, the resulting metal oxide film has a O:M atomic ratio ranging from approximately 1:1 to approximately 2.1:1. The C content of the resulting metal oxide film ranges from approximately 0 atomic % to approximately 30 atomic %, preferably from approximately 0 atomic % to approximately 20 atomic %. The N content of the resulting metal oxide film ranges from approximately 0 atomic % to approximately 30 atomic %, preferably from approximately 0 atomic % to approximately 20 atomic %. The Si, O, and C concentrations may be determined by X-ray photoelectron spectroscopy (XPS).
Since the resulting metal doped silicon oxide films have low volumetric shrinkage compared to other metal doped silicon oxides films formed from existing compositions or using common shrinkage controlled methods, it is harder to generate film defects, such as voids, with the disclosed methods.
The cured film is characterized using standard analytic tools. Exemplary tools include, but are not limited to, ellipsometers, X-ray photoelectron spectroscopy, X-ray reflectometry (XRR), atomic force microscopy, X-ray fluorescence, Fourier-transform infrared spectroscopy, scanning electron microscopy, secondary ion mass spectrometry (SIMS), Rutherford backscattering spectrometry (RBS), profilometer for stress analysis, Hg probe, nanoindenter, four point bending or combination thereof.
The metal doped silicon-containing films resulting from the processes discussed above may include metal doped silicon oxide, metal doped silicon nitride, metal doped silicon oxynitride, etc., in which the metal is selected from Al, Ti, Zr, Sn, Ni, W, Hf, Ta, B, Ga, Cr, Ge, In, or the like. One of ordinary skill in the art will recognize that by judicial selection of the appropriate metal doped silicon-containing film-forming composition and co-reactants, the desired resulting film may be obtained.
The metal doped silicon-containing films also exhibited excellent gap-fill in a trench having an aspect ratio of 1:1 to 200:1, preferably 1:1 to 20:1 and/or 20:1 to 200:1.
Currently, the existing method for shrinkage control is to increase the polymer crosslinking in synthesis by optimizing reaction conditions, including reaction temperature/pressure/time, catalyst activity, precursor concentration, and so on. However, it is difficult to fully optimize all of these inter-dependent conditions.
The disclosed metal doped silicon-containing film-forming compositions provide less shrinkage of metal doped silicon-containing films than existing metal doped silicon-containing film-forming compositions for semiconductor applications.
Recipes for curing of the resulting film and conversion to silicon metal oxide is also widely investigated to decrease the shrinkage, as it is believed that the shrinkage is related to the loss (volatilization) of short oligomers before they are oxidized during the curing step. As such, there is a competition between oxidation during curing and evaporation of short chain metal-containing oligomers, and the curing recipe (composition of the vapor phase, temperature ramp speed, etc.) have a significant impact on the final film shrinkage. Overall, both parameters, i.e., oxidation during curing and evaporation of short chain metal-containing oligomers, combine to yield the final shrinkage.
The baked metal doped silicon-containing film of the metal doped silicon-containing film-forming composition or residual hard mask, after oxygen plasma based pattern transfer, may be advantageously removed using a chemical stripping agent, such as acid, base, peroxide, and mixture thereof. For example, SC1 solution, 85% phosphoric acid, diluted sulfuric acid, 1-3% DHF, 10% TMAH, 10% hydrogen peroxide, aqueous alkaline peroxides and mixtures thereof are useful stripping compounds. Stripping time ranges from about 5 seconds to about 120 seconds at about room temperature to about 70° C. depending on the film curing conditions.
The etching process of the exposed part of the material layer, as described above in the method of forming a pattern, may be performed through a dry etching process using an etching gas and the etching gas may be, for example CHF3, CF4, Cl2, O2, C4F8, BCl3, and a mixed gas thereof. The process of etching is well known to those skilled in the art.
The plasma etching method includes providing a reaction chamber having a substrate disposed therein. The reaction chamber may be any enclosure or chamber within a device in which etching methods take place such as, and without limitation, reactive ion etching (RIE), capacitively coupled plasma (CCP) with single or multiple frequency RF sources, inductively coupled plasma (ICP), or microwave plasma reactors, or thermal etch or atomic layer etch (ALE) or other types of etching systems capable of selectively removing a portion of the silicon-containing film or generating active species. One of ordinary skill in the art will recognize that the different plasma reaction chamber designs provide different electron temperature control. Suitable commercially available plasma reaction chambers include but are not limited to the Applied Materials magnetically enhanced reactive ion etcher sold under the trademark eMAX™ or the Lam Research Dual CCP reactive ion etcher dielectric etch product family sold under the trademark 2300® Flex™. The RF power and gases in such may be pulsed to control plasma properties and thereby improving the etch performance (selectivity and damage) further.
Alternatively, the plasma-treated reactant may be produced outside of the reaction chamber. The MKS Instruments' ASTRONi® reactive gas generator may be used to treat the reactant prior to passage into the reaction chamber. Operated at 2.45 GHz, 7KW plasma power, and a pressure ranging from approximately 0.5 Torr to approximately 10 Torr, the reactant O2 may be decomposed into two O· radicals. Preferably, the remote plasma may be generated with a power ranging from about 1 kW to about 10 KW, more preferably from about 2.5 kW to about 7.5 KW. The reaction chamber may contain one or more than one substrate. For example, the reaction chamber may contain from 1 to 200 silicon wafers having from 25.4 mm to 450 mm diameters.
The following non-limiting examples are provided to further illustrate embodiments of the invention. However, the examples are not intended to be all inclusive and are not intended to limit the scope of the inventions described herein.
The spin-coating process was conducted via a Brewer Science Cee 200X spin coater, and the formulations were filtered by Polytetrafluoroethylene (PTFE) membrane (pore size 0.2 μm)discs before spin-coating step. Prepared thin films' quality from above formulations were characterized by Scanning Electron microscopy (SEM). The refractive index (n) and the extinction coefficient (k) values were measured on a J. A. Woollam M-2000 ellipsometer by J. A. Woollam. The film composition were characterized by Fourier-transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) and Secondary lon Mass Spectrometry (SIMS). Thermogravimetric measurements use to measure Metal wt % were done using A Mettler Toledo Thermogravimetric Analyzer with heating from 30° C. to 500° C., at a heating rate of 10° C./min in a pure Nitrogen and compressed air atmosphere.
0.8 g of Modified Methylaluminoxanes (MMAO) and 3.5 g perhydropolysilazane in mesitylene was mixed at room temperature. This solution was stirred and maintained at constant temperature of 25-30° C. for 2 hours, and then filtered using a 0.2 μm PTFE filter. The spin coating was done on a Silicon coupon wafer at a 1000-3000 rpm speed with thickness ranged from 50-300 nm. The film was then cured under H2O2/N2 flow in tube furnace, the curing temperature ranged from 200-800° C. Film composition analysis from XPS: Si: 42.4 wt %; O: 50.8 wt %; Al: 5 wt %; C: 0.7 wt %; and N: 1.4 wt %. There was no detectable Si—H group by FTIR spectrum.
3.5 g of modified methylaluminoxanes (MMAO) and 1.05 g poly(diethoxysiloxane) in mesitylene was mixed at room temperature. This solution was stirred and maintained at constant temperature of 25-30° C. for 2 hours, and then filtered using a 0.2 μm PTFE filter. The spin coating was done on a Silicon coupon wafer at a 1000-3000 rpm speed with thickness ranged from 50-300 nm. The film was then cured under H2O2/N2 flow in tube furnace, the curing temperature ranged from 200-800° C. Film composition analysis from XPS: Si: 23.5 wt %; O: 47.3 wt %; Al: 25.4 wt %; C: 1.7 wt %; and N: 2.1 wt %. There was no detectable Si—H group by FTIR spectrum.
The spin coating of the formulation examples was done by dispensing a solution of each formulation onto the center of a substrate (e.g. silicon wafer) and then spinning the substrate at high speed (typically between 1000 to 3000 rpm). The formulation of Example 1 was diluted in mesitylene and was spin-coated on a deep via substrate patterned wafer with trench sizes of 100 nm to 1000 nm (depth) 10 nm to 100 nm (width) and line/space (L/S) 1:1. The coated wafer was subsequently cured at 200° C. to 800° C. under oxidizing environment for a certain period of time. The solid content in the formulation could be adjusted to achieve target overburden thickness on patterned wafer. The trench filling performance was evaluated by the cross-section scanning electron microscopy (XSEM) result.
Experiments were carried out with commercial LAM tool 4520XLe 200 mm (CCP dual frequency plasma) or alternatively with commercial AMEC 300 mm Primo SSC HD-RIE etcher. Planar wafers were purchased from Advantive Tech. Planar wafers tested are different substrates below.
For planar tests, etch rate (ER) were measured using an ellipsometer and/or scanning electron microscope (SEM) by measuring the change in etch thickness as a function of etching time. The etching experiment were performed on four 1.5 x1.5 cm2 coupons having four different substrate materials including SiO, SiN, and p-Si, listed above. The coupons are placed on 200 or 300 mm diameter carrier wafer and held in contact by using silicon oil or thermal paste. Alternatively, double sided carbon tape may have been used to stick coupons on carrier wafer.
Etching tests were performed at a pressure of 30 mTorr, source power of 750 W (27 MHz), bias power of 1500 W (2 MHZ), and temperature 20° C. The feed mixture contains 250 sccm of Ar, 15 sccm of etch gas. Table 1 lists the relative bulk etch rate of metal oxide samples vs. SiOx at various baking temperatures in C4F8 gas.
Experiments were carried out in diluted HF solution (100:0.7). Reference planar wafer with SiO2 layer were purchased from Advantive Tech: 80 nm thermal SiO2 (900° C.) on Si substrate. Table 2 lists the wet etch rate of metal oxide samples vs. SiOx at various baking temperatures in different gases.
It will be understood that many additional changes in the details, materials, steps, and arrangement of parts, which have been herein described and illustrated in order to explain the nature of the invention, may be made by those skilled in the art within the principle and scope of the invention as expressed in the appended claims. Thus, the present invention is not intended to be limited to the specific embodiments in the examples given above and/or the attached drawings.
While embodiments of this invention have been shown and described, modifications thereof may be made by one skilled in the art without departing from the spirit or teaching of this invention. The embodiments described herein are exemplary only and not limiting. Many variations and modifications of the composition and method are possible and within the scope of the invention. Accordingly, the scope of protection is not limited to the embodiments described herein, but is only limited by the claims which follow, the scope of which shall include all equivalents of the subject matter of the claims.