Standard cells and variations thereof within a standard cell library

Information

  • Patent Grant
  • 11704472
  • Patent Number
    11,704,472
  • Date Filed
    Wednesday, November 10, 2021
    2 years ago
  • Date Issued
    Tuesday, July 18, 2023
    10 months ago
  • CPC
  • Field of Search
    • CPC
    • G06F30/398
    • G06F30/394
    • G06F30/18
    • G06F30/39
    • G06F2111/04
    • G06F2111/20
    • G06F2119/18
    • H01L27/0207
  • International Classifications
    • G06F30/00
    • G06F30/398
    • G06F30/394
    • H01L27/02
    • G06F111/04
    • G06F30/18
    • G06F119/18
    • G06F111/20
Abstract
Standard cell libraries include one or more standard cells and one or more corresponding standard cell variations. The one or more standard cell variations are different from their one or more standard cells in terms of geometric shapes, locations of the geometric shapes, and/or interconnections between the geometric shapes. The exemplary systems and methods described herein selectively choose from among the one or more standard cells and/or the one or more standard cell variations to form an electronic architectural design for an electronic device. In some situations, some of the one or more standard cells are unable to satisfy one or more electronic design constraints imposed by a semiconductor foundry and/or semiconductor technology node when placed onto the electronic device design real estate. In these situations, the one or more standard cell variations corresponding to these standard cells are placed onto the electronic device design real estate.
Description
BACKGROUND

Advances in technology and engineering have allowed designers and manufacturers to offer more electronic devices to consumers. Often times, the designers and/or the manufacturers utilize electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), throughout the design of an electronic device. EDA represents as a category of software applications available to designers and manufacturers for designing the electronic device. Many software applications are available to design, to simulate, to analyze, and to verify the electronic device before fabrication onto an integrated circuit (IC) or semiconductor substrate. Conventional software applications to design the electronic device utilize a high-level software language at a register-transfer level (RTL) to develop a software implementation of analog and/or digital circuitry of the electronic device. These conventional software applications translate the high-level software language into a conventional electronic architectural design by interconnecting many conventional standard cells from among a conventional predefined library of standard cells to form the analog and/or digital circuitry of the electronic device onto the IC or semiconductor substrate. Conventionally, larger standard cells from among the conventional predefined library of standard cells are placed onto the IC or semiconductor substrate before smaller standard cells from among the conventional predefined library of standard cells leaving less real estate available for placement of these smaller standard cells onto the IC or semiconductor substrate. Consequentially, the IC or semiconductor substrate is often expanded to enlarge the real estate available for placement of these smaller standard cells onto the IC or semiconductor substrate. This expansion of the IC or semiconductor substrate increases real estate occupied by the analog and/or digital circuitry of the electronic device.





BRIEF DESCRIPTION OF THE DRAWINGS

Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.



FIG. 1A illustrates a block diagram of a first electronic design platform according to an exemplary embodiment of the present disclosure;



FIG. 1B illustrates a block diagram of a second electronic design platform according to an exemplary embodiment of the present disclosure;



FIG. 2 illustrates exemplary libraries of standard cells according to an exemplary embodiment of the present disclosure;



FIG. 3 illustrates an electronic device design real estate for placement of analog circuitry and/or digital circuitry of an electronic device according to an exemplary embodiment of the present disclosure;



FIG. 4 illustrates a flowchart of exemplary operation for developing the exemplary libraries of standard cells according to an exemplary embodiment of the present disclosure;



FIG. 5A and 5B illustrate exemplary semiconductor layout diagrams of a first exemplary standard cell and a first exemplary standard cell variation corresponding to the first exemplary standard cell, respectively, according to exemplary embodiments of the present disclosure;



FIG. 6A and 6B further illustrate the exemplary semiconductor layout diagrams of the first exemplary standard cell and the first exemplary standard cell variation corresponding to the first standard cell, respectively, according to exemplary embodiments of the present disclosure;



FIG. 7A and 7B illustrate exemplary semiconductor layout diagrams of a second exemplary standard cell and a second exemplary standard cell variation corresponding to the second exemplary standard cell, respectively, according to exemplary embodiments of the present disclosure;



FIG. 8 illustrates a flowchart of exemplary operation for placing standard cells and/or standard cell variations from among the libraries of standard cells according to an exemplary embodiment of the present disclosure; and



FIG. 9 illustrates a block diagram of an exemplary computer system for implementing the exemplary design platform according to an exemplary embodiment of the present disclosure.





DETAILED DESCRIPTION

The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition does not in itself dictate a relationship between the various embodiments and/or configurations discussed.


Overview


Exemplary embodiments for multiple standard cell libraries are disclosed that include one or more standard cells and one or more corresponding standard cell variations. The one or more standard cell variations have similar functionality as their one or more standard cells but are different from their one or more standard cells in terms of geometric shapes, locations of the geometric shapes, and/or interconnections between the geometric shapes. The exemplary systems and methods described herein selectively choose from among the one or more standard cells and/or the one or more standard cell variations to form an electronic architectural design for analog circuitry and/or digital circuitry of an electronic device. In an exemplary embodiment, a semiconductor foundry and/or semiconductor technology node can impose one or more electronic design constraints on the placement of the one or more standard cells onto an electronic device design real estate. In some situations, some of the one or more standard cells are unable to satisfy the one or more electronic design constraints when placed onto the electronic device design real estate. In these situations, the one or more standard cell variations corresponding to these standard cells are placed onto the electronic device design real estate.


Exemplary Electronic Design Platforms



FIG. 1A illustrates a block diagram of a first electronic design platform according to an exemplary embodiment of the present disclosure. As illustrated in FIG. 1A, an electronic design platform 100 represents a design flow including one or more electronic design software applications, that when executed by one or more computing devices, processors, controllers, or other devices that will be apparent to those skilled in the relevant art(s) without departing from the spirit and the scope of the present disclosure, can design, simulate, analyze, and/or verify one or more high-level software level descriptions of analog and/or digital circuitry for an electronic device. In an exemplary embodiment, the one or more high-level software level descriptions can be implemented using a high-level software language, such as a graphical design application, for example C, System C, C++, LabVIEW, and/or MATLAB, a general purpose system design language, such as like SysML, SMDL and/or SSDL, or any other suitable high-level software or general purpose system design language that will be apparent to those skilled in the relevant art(s) without departing from the spirit and the scope of the present disclosure, or a high-level software format, such as Common Power Format (CPF), Unified Power Formant (UPF), or any other suitable high-level software format that will be apparent to those skilled in the relevant art(s) without departing from the spirit and the scope of the present disclosure. In the exemplary embodiment illustrated in FIG. 1A, the electronic design platform 100 includes a synthesis application 102, a placing and routing application 104, a simulation application 106, a verification application 108, and libraries of standard cells 110.


Moreover, embodiments of the disclosure can be implemented in hardware, firmware, software, or any combination thereof. Embodiments of the disclosure can also be implemented as instructions stored on a machine-readable medium, which can be read and executed by one or more processors. A machine-readable medium can include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computing device). For example, a machine-readable medium can include non-transitory machine-readable mediums such as read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; and others. As another example, the machine-readable medium can include transitory machine-readable medium such as electrical, optical, acoustical, or other forms of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.). Further, firmware, software, routines, instructions can be described herein as performing certain actions. However, it should be appreciated that such descriptions are merely for convenience and that such actions in fact result from computing devices, processors, controllers, or other devices executing the firmware, software, routines, instructions, etc. In an exemplary embodiment, the synthesis application 102, the placing and routing application 104, the simulation application 106, and the verification application 108 represent one or more electronic design software applications, which when executed by one or more computing devices, processors, controllers, or other devices that will be apparent to those skilled in the relevant art(s) without departing from the spirit and the scope of the present disclosure, configure the one or more computing devices, the processors, the controllers, or the other devices from being general purpose electronic devices into special purpose electronic devices to execute one or more of these applications as to be described in further detail below.


The synthesis application 102 translates one or more characteristics, parameters, or attributes of the electronic device into one or more logic operations, one or more arithmetic operations, one or more control operations, and/or any other suitable operation or operations that will be apparent to those skilled in the relevant art(s) without departing from the spirit and the scope of the present disclosure into the one or more high-level software level descriptions in terms of analog circuitry and/or digital circuitry of the electronic device. The synthesis application 102 can utilize a simulation algorithm to simulate the one or more logic operations, one or more arithmetic operations, one or more control operations, and/or the other suitable operation or operations to verify the one or more logic operations, one or more arithmetic operations, one or more control operations, and/or the other suitable operation perform in accordance with one or more characteristics, parameters, or attributes of the electronic device as outlined in an electronic design specification.


The placing and routing application 104 translates the one or more high-level software level descriptions to form an electronic architectural design for the analog circuitry and/or the digital circuitry of the electronic device. The placing and routing application 104 selectively chooses among one or more standard cells within libraries of standard cells 110 and/or one or more standard cell variations within the libraries of standard cells 110 corresponding to the one or more standard cells to translate the one or more logic operations, the one or more arithmetic operations, the one or more control operations, and/or the other suitable operation or operations of the one or more high-level software level descriptions into geometric shapes and/or the interconnections between the geometric shapes to form the electronic architectural design for the analog circuitry and/or the digital circuitry of the electronic device. Generally, the one or more standard cell variations have similar functionality as their corresponding standard cell but are different from their corresponding standard cell in terms of the geometric shapes, the locations of the geometric shapes, and/or the interconnections between the geometric shapes. As such, the one or more standard cell variations allow the placing and routing application 104 to selectively choose one or more variations for the one or more standard cells without expansion of an electronic device design real estate to place one or more larger standard cells within libraries of standard cells 110 have similar functionality as the one or more standard cells.


In an exemplary embodiment, the libraries of standard cells 110 include multiple standard cells defining various geometric shapes, locations of the various geometric shapes, and/or interconnections between the various geometric shapes. In this exemplary embodiment, one or more of the multiple standard cells within the libraries of standard cells 110 correspond to one or more standard cell variations within the libraries of standard cells 110. Alternatively, or in addition to, in this exemplary embodiment, only subset of the multiple standard cells within the libraries of standard cells 110 correspond to the one or more standard cell variations within the libraries of standard cells 110. This subset of the multiple standard cells within the libraries of standard cells 110 can be used to significant reduce the size of the libraries of standard cells 110. For example, the libraries of standard cells 110 can be significantly compacted by only including one or more standard cell variations for small standard cells, also referred to as critical standard cells, from among the multiple standard cells requiring less than or equal a threshold number of legal sites onto the electronic device design real estate. This exemplary embodiment further increases the efficiency of the placing and routing application 104 to form the electronic architectural design. Exemplary embodiments of the libraries of standard cells 110 are to be further described below in FIG. 2 through 7B.


After selecting the one or more standard cells from the among libraries of standard cells 110, the placing and routing application 104 places the one or more selected standard cells onto an electronic device design real estate to initiate formation of the electronic architectural design for the analog circuitry and/or the digital circuitry of the electronic device. In an exemplary embodiment, the placing and routing application 104 places standard cells from among the one or more selected standard cells occupying more real estate onto the electronic device design real estate before placing those standard cells from among the one or more selected standard cells occupying less real estate onto the electronic device design real estate. Additionally, the placing and routing application 104 verifies whether the placement of the one or more selected standard cells onto the electronic device design real estate satisfies one or more electronic design constraints. The one or more electronic design constraints can include one or more recommended parameters as defined by one or more semiconductor foundries and/or one or more semiconductor technology nodes for fabricating the electronic device. The one or more recommended parameters can include recommended geometric shapes for the one or more standard cells, recommended locations of the geometric shapes for the one or more standard cells, recommended interconnections between the geometric shapes, and/or any other suitable electronic design constraint or electronic design constraints that will be apparent to those skilled in the relevant art(s) without departing from the spirit and the scope of the present disclosure. For example, the one or more recommended parameters can include recommended locations for power, ground, and/or input/output connections for the one or more standard cells, recommended locations of one or more diffusion layers, one or more polysilicon layers, one or more metal layers, and/or one or more interconnections between the layers onto the electronic device design real estate.


In an exemplary embodiment, when the placement of the one or more standard cells from among the among the libraries of standard cells 110 satisfies the one or more electronic design constraints, this placement of the one or more standard cells represents a legal placement onto the electronic device design real estate. Otherwise, this placement of the one or more standard cells represents an illegal placement onto the electronic device design real estate when the placement of the one or more standard cells does not satisfy the one or more electronic design constraints. In this situation, the placing and routing application 104 iteratively selects one or more standard cell variations from the among the libraries of standard cells 110 which represents one or more variations of the one or more standard cells having the illegal placement and substitutes the one or more standard cell variations having the legal placement with the one or more standard cells onto the electronic device design real estate. Generally, the one or more standard cell variations have similar functionality as their respective standard cells but different are terms of the geometric shapes, the locations of the geometric shapes, and/or the interconnections between the geometric shapes. The placement of the one or more selected standard cells and the verification of whether the placement satisfies the one or more electronic design constraints are to be further described below in FIG. 8.


Once the placing and routing application 104 places the one or more selected standard cells onto the electronic device design and verifies the one or more placed standard cells satisfy the one or more electronic design constraints, the placing and routing application 104 routes the one or more placed standard cells to form the electronic architectural design for the analog circuitry and/or the digital circuitry of the electronic device. In an exemplary embodiment, the placing and routing application 104 forms various geometric shapes of conductive material between one or more placed standard cells and/or interconnections between these various geometric shapes to form the electronic architectural design for the analog circuitry and/or the digital circuitry of the electronic device.


The simulation application 106 simulates the electronic architectural design for the analog circuitry and/or the digital circuitry of the electronic device to replicate one or more characteristics, parameters, or attributes of the electronic architectural design for the analog circuitry and/or the digital circuitry of the electronic device. In an exemplary embodiment, the simulation application 106 can provide a static timing analysis (STA), a voltage drop analysis, also referred to an IREM analysis, a Clock Domain Crossing Verification (CDC check), a formal verification, also referred to as model checking, equivalence checking, or any other suitable analysis that will be apparent to those skilled in the relevant art(s) without departing from the spirit and the scope of the present disclosure. In a further exemplary embodiment, the simulation application 106 can perform an alternating current (AC) analysis, such as a linear small-signal frequency domain analysis, and/or a direct current (DC) analysis, such as a nonlinear quiescent point calculation or a sequence of nonlinear operating points calculated while sweeping a voltage, a current, and/or a parameter to perform the STA, the IREM analysis, or the other suitable analysis.


The verification application 108 verifies the one or more characteristics, parameters, or attributes of the electronic architectural design for the analog circuitry and/or the digital circuitry of the electronic device as replicated by the simulation application 106 satisfy the electronic design specification. The verification application 108 can also perform a physical verification, also referred to as a design rule check (DRC), to check whether the electronic architectural design for the analog circuitry and/or the digital circuitry of the electronic device satisfies one or more recommended parameters, referred to as design rules, as defined by a semiconductor foundry and/or semiconductor technology node for fabricating the electronic device.



FIG. 1B illustrates a block diagram of a second electronic design platform according to an exemplary embodiment of the present disclosure. As illustrated in FIG. 1B, an electronic design platform 120 represents a fabrication flow including one or more electronic design software applications, that when executed by one or more computing devices, processors, controllers, or other devices that will be apparent to those skilled in the relevant art(s) without departing from the spirit and the scope of the present disclosure, can perform a multiple-operation sequence of photo lithographic and chemical processing steps during which analog and/or digital circuitry for an electronic device are gradually created on a semiconductor substrate such as a silicon crystal, but can include other materials, or combinations of materials, such as sapphire or any other suitable material that will be apparent to those skilled in the relevant art(s) without departing from the spirit and scope of the present disclosure. The multiple-operation sequence of photo lithographic and chemical processing steps can include deposition, removal, patterning, and modification. The deposition is a process used to grow, coat, or otherwise transfer a material onto the semiconductor substrate and can include physical vapor deposition (PVD), chemical vapor deposition (CVD), electrochemical deposition (ECD), and/or molecular beam epitaxy (MBE) to provide some examples. The removal is a process to remove material from the semiconductor substrate and can include wet etching, dry etching, and/or chemical-mechanical planarization (CMP) to provide some examples. The patterning, often referred to as lithography, is a process to shape or alter material of the semiconductor substrate to form various geometric shapes of the analog and/or digital circuitry for the electronic device. The modification of electrical properties is a process to alter physical, electrical, and/or chemical properties of material of the semiconductor substrate, typically, by ion implantation. In an exemplary embodiment, a semiconductor foundry can utilize this fabrication flow to fabricate the analog and/or digital circuitry for the electronic device on the semiconductor substrate. In the exemplary embodiment illustrated in FIG. 1B, the fabrication flow can be divided into front-end-of-line (FEOL) processing, middle-end-of-line (MEOL) processing, and back-end-of-line (BEOL) processing. In this embodiment, the electronic design platform 120 includes a FEOL processing application 122, a MEOL processing application 124, and a BEOL processing application 126 as illustrated in FIG. 1B.


The FEOL processing application 122 forms one or more semiconductor devices of the analog and/or digital circuitry onto one or more diffusion layers and/or one or more polysilicon layers within the semiconductor substrate in accordance with an electronic architectural design for the analog circuitry and/or the digital circuitry of the electronic device. The FEOL processing application 122 can include forming one or more wells within the semiconductor substrate and forming various terminals, such as gates, sources, and drains to provide some examples, of the one or more semiconductor devices of the analog and/or digital circuitry within and/or onto the semiconductor substrate. In an exemplary embodiment, the electronic architectural design represents an image or a data-based representation of geometric shapes describing circuitry of the electronic device, locations of the geometric shapes, and/or interconnections of the geometric shapes. The electronic architectural design can represent one or more JPEG (Joint Photographic Experts Group) images, JPEG File Interchange Format (JIFF) images, Exchangeable image file (Exif) images, Tagged Image File Format (TIFF) images, Graphics Interchange Format (GIF) images, Windows bitmap (BMP) images, and/or Portable Network Graphic (PNG) images, AutoCAD Drawing Exchange Format (DXF) data files, Portable Document Format (PDF) data files, Electronic Design Interchange Format (EDIF) data files, ODB++ data files, one or more Association Connecting Electronics Industries (IPC) data files, such as IPC-2511A, IPC-2511B, or IPC-2581 to provide some examples, one or more International Organization for Standardization (ISO) data files, such as ISO 10303-210 to provide an example. In the exemplary embodiment illustrated in FIG. 1B, the electronic architectural design was formed using one or more standard cells and/or one or more standard cell variations corresponding to the one or more standard cells from among one or more libraries of standard cells in a similar manner as described above in FIG. 1A.


The MEOL processing application 124 forms one or more local interconnections, such as one or more vias and/or one or more contacts to provide some examples, in accordance with the electronic architectural design for electrically connecting the one or more semiconductor devices. In the exemplary embodiment illustrated in FIG. 1B, the one or more local interconnections represent various connections for one or more global interconnections between the one or more semiconductor devices for electrically connecting the one or more semiconductor devices of the analog and/or digital circuitry.


The BEOL processing application 126 forms the one or more global interconnections between the local interconnections in accordance with the electronic architectural design to form the analog circuitry and/or the digital circuitry of the electronic device


Exemplary Libraries of Standard Cells That Can be Utilized by the Exemplary Electronic Design Platform



FIG. 2 illustrates exemplary libraries of standard cells according to an exemplary embodiment of the present disclosure. The placing and routing application 104, as described above in FIG. 1A, selects one or more standard cells and/or one or more standard cell variations corresponding to the one or more standard cells from among the libraries of standard cells, such as libraries of standard cells 200 as illustrated in FIG. 2, for placement onto an electronic device design real estate in accordance with the one or more high-level software level descriptions for the analog circuitry and/or the digital circuitry of the electronic device. As illustrated in FIG. 2, the libraries of standard cells 200 include a standard cell library 202, having standard cells 204.1 through 204.b, associated with standard cell variation libraries 206.1 through 206.a having standard cell variations 208.1.1 through 208.b.1 and standard cell variations 208.1.a through 208.b.a, respectively. The libraries of standard cells 200 can represent an exemplary embodiment of the libraries of standard cells 110 as described above in FIG. 1A. In an exemplary embodiment, a semiconductor foundry can develop the standard cell variation libraries 206.1 through 206.a from the standard cell library 202 and/or deliver the standard cell variation libraries 206.1 through 206.a to one or more customers of the semiconductor foundry to design, to simulate, to analyze, and/or to verify the analog circuitry and/or the digital circuitry of the electronic device.


In the exemplary embodiment illustrated in FIG. 2, the standard cells 204.1 through 204.b represent geometric shapes, locations of the geometric shapes, and/or interconnections between the geometric shapes which can be used to form the electronic architectural design for the analog circuitry and/or the digital circuitry of the electronic device. As illustrated in FIG. 2, the standard cell variations 208.1.1 through 208.b.1 within the standard cell variation library 206.1 and the standard cell variations 208.1.a through 208.b.a within the standard cell variation library 206.a represent one or more standard cell variations of the standard cells 204.1 through 204.b within the standard cell library 202. For example, the standard cell variations 208.1.1 through 208.b.1 represent a first variation of the standard cells 204.1 through 204.b and the standard cell variations 208.1.a through 208.b.a represent an ath variation of the standard cells 204.1 through 204.b. The standard cell variations 208.1.1 through 208.b.1 and the standard cell variations 208.1.a through 208.b.a have similar functionality as the standard cells 204.1 through 204.b, but respectively differ from each other in terms of geometric shapes, locations of the geometric shapes, and/or interconnections between the geometric shapes. Although FIG. 2 illustrates the standard cell variation library 206.1 having standard cell variations 208.1.1 through 208.b.1 and the standard cell variation library 206.a having standard cell variations 208.1.a through 208.b.a, this is for illustrative purposes only. Those skilled in the relevant art(s) will recognize the standard cell variation libraries 206.1 through 206.a can include different variations of the standard cells 204.1 through 204.b without departing from the spirit and the scope of the present disclosure. In an exemplary embodiment, the standard cell variation library 206.1 can include at least the standard cell variation 208.1.1 and the standard cell library 206.a can include at least the standard cell variation 208.1.a. In some situations, not all of the standard cells 204.1 through 204.b need to be associated with a standard cell variation library from among the standard cell variation libraries 206.1 through 206.a as illustrated in FIG. 2 to reduce the size needed for the libraries of standard cells 200.


Exemplary Selection of Standard Cells for One or More Standard Cell Variations



FIG. 3 illustrates an electronic device design real estate for placement of analog circuitry and/or digital circuitry of an electronic device according to an exemplary embodiment of the present disclosure. In the exemplary embodiment illustrated in FIG. 3, an electronic device design real estate 300 can be logically divided into a series of rows 302.1 through 302.m of legal sites intersecting a series of columns of legal sites 304.1 through 304.i to form an array of legal sites 306.1.1 through 306.m.i. The array of legal sites 306.1.1 through 306.m.i can be used to place one or more standard cells, such as the one or more standard cells and/or the one or more standard cell variations as described above in FIG. 1A and/or the standard cells 204.1 through 204.b and/or the standard cell variations 208.1.1 through 208.b.1 and the standard cell variations 208.1.a through 208.b.a as described above in FIG. 2 to provide some examples. However, the array of legal sites 306.1.1 through 306.m.i as illustrated in FIG. 3 is for illustrative purposes only. Those skilled in the relevant art(s) will recognize the electronic device design real estate 300 can be logically divided into other configurations and arrangements of legal sites without departing from the spirit and the scope of the present disclosure. Generally, the legal sites represent basic units of integrated circuit design for placing the one or more standard cells. As such, each of the one or more standard cells can be characterized as requiring one or more of the legal sites from among the array of legal sites 306.1.1 through 306.m.i for placement onto the electronic device design real estate 300.



FIG. 4 illustrates a flowchart of exemplary operation for developing the exemplary libraries of standard cells according to an exemplary embodiment of the present disclosure. The disclosure is not limited to this operational description. Rather, it will be apparent to ordinary persons skilled in the relevant art(s) that other operational control flows are within the scope and spirit of the present disclosure. The following discussion describes an exemplary operational control flow 400 for developing exemplary libraries of standard cells, such as the libraries of standard cells 110 as described above in FIG. 1A and/or the libraries of standard cells 200 as described above in FIG. 2 to provide some examples. In an exemplary embodiment, the operational control flow 400 can be utilized by a semiconductor foundry to develop the libraries of standard cells and/or deliver the libraries of standard cells to one or more customers of the semiconductor foundry to design, to simulate, to analyze, and/or to verify the analog circuitry and/or the digital circuitry of the electronic device.


At operation 402, the operational control flow 400 selects a standard cell from among the libraries of standard cells, such one of the standard cells from among the libraries of standard cells 110 as described above in FIG. 1A and/or one of the standard cells 204.1 through 204.b from among the standard cell library 202 as described above in FIG. 2. The standard cell includes geometric shapes, locations of the geometric shapes, and/or interconnections between the geometric shapes can be used to implement one or more of the one or more logic operations, the one or more arithmetic operations, the one or more control operations, and/or any other suitable operation or operations that will be apparent to those skilled in the relevant art(s) without departing from the spirit and the scope of the present disclosure of the one or more high-level software level descriptions for the analog circuitry and/or the digital circuitry of the electronic device.


At operation 404, the operational control flow 400 determines whether to develop one or more standard cell variations for the standard cell from operation 402. In the exemplary embodiment illustrated in FIG. 4, the operational control flow 400 compares a size of the standard cell from operation 402, in terms of legal sites, with a threshold number of legal sites to determine whether to develop the one or more standard cell variations for the standard cell from operation 402. In some situations, a placing and routing application, such as the placing and routing application 104 to provide an example, places large standard cells from among the one or more standard cells requiring more than the threshold number of legal sites, for example five, onto an electronic device design real estate, such as the electronic device design real estate 300 to provide an example, before placement of small standard cells, also referred to as critical standard cells, from among the one or more selected standard cells requiring less than or equal the threshold number of legal sites onto the electronic device design real estate. In these situations, after placement of the large standard cells onto the electronic device design real estate, less legal sites are available within the electronic device design real estate for placement of these critical standard cells. As such, the operational control flow 400 develops one or more standard cell variations for these critical standard cells in the exemplary embodiment illustrated in FIG. 4. When the size of the standard cell from operation 402 is greater than the threshold number of legal sites, the standard cell from operation 402 represents a large standard cell. In this situation, the one or more standard cell variations are not developed for the standard cell from operation 402 and the operational control flow 400 reverts to operation 402 to select another standard cell from among the library of standard cells. Otherwise, the operational control flow 400 proceeds to operation 406 to develop the one or more standard cell variations for the standard cell from operation 402 when the size of the standard cell from operation 402 is less than or equal to the threshold number of legal sites.


At operation 406, the operational control flow 400 develops the one or more standard cell variations for the standard cell from operation 402. In the exemplary embodiment illustrated in FIG. 4, the operational control flow 400 develops the one or more standard cell variations for the standard cell from operation 402 to satisfy one or more electronic design constraints. The one or more electronic design constraints can include one or more recommended parameters as defined by one or more semiconductor foundries and/or one or more semiconductor technology nodes for fabricating the electronic device. The one or more recommended parameters can include recommended geometric shapes for the one or more standard cells, recommended locations of the geometric shapes for the one or more standard cells, recommended interconnections between the geometric shapes, and/or any other suitable electronic design constraint or electronic design constraints that will be apparent to those skilled in the relevant art(s) without departing from the spirit and the scope of the present disclosure. For example, the one or more recommended parameters can include recommended locations for power, ground, and/or input/output connections for the one or more standard cells, recommended locations of one or more diffusion layers, one or more polysilicon layers, one or more metal layers, and/or one or more interconnections between the layers onto the electronic device design real estate. However, in some situations, the standard cell from operation 402 can be mirrored along a linear axis, such as along an “x” axis of a Cartesian coordinate system or a “y” axis of a Cartesian coordinate system to provide some examples, to satisfy the one or more electronic design constraints. This mirrored standard cell has similar functionality as the standard cell from operation 402 but the geometric shapes, the locations of the geometric shapes, and/or the interconnections between the geometric shapes of the mirrored standard cell of the mirrored standard cell are mirrored about the linear axis when compared to the standard cell from operation 402. In these situations, the operational control flow 400 does not develop the one or more standard cell variations for the standard cell from operation 402. Rather, the operational control flow 400 reverts to operation 402 to select another standard cell from among the library of standard cells. Referring back to FIG. 4, after developing the one or more standard cell variations for the standard cell from operation 402, the operational control flow 400 reverts to operation 402 to select another standard cell from among the library of standard cells. In an exemplary embodiment, the operational control flow 400 can deliver the libraries of standard cells to the one or more customers of the semiconductor foundry to design, to simulate, to analyze, and/or to verify the analog circuitry and/or the digital circuitry of the electronic device after developing the one or more standard cell variations for the standard cell from operation 402.


Exemplary Variations for the One or More Standard Cells



FIG. 5A and 5B illustrate exemplary semiconductor layout diagrams of a first exemplary standard cell and a first exemplary standard cell variation corresponding to the first exemplary standard cell, respectively, according to exemplary embodiments of the present disclosure. FIG. 5A and FIG. 5B illustrate simplified exemplary layout diagrams of a standard cell 500 and a standard cell variation 502, respectively, situated within a semiconductor stack. The semiconductor stack includes one or more diffusion layers, one or more polysilicon layers, and/or one or more metal layers as well as one or more interconnections between these layers. For simplicity, FIG. 5A and FIG. 5B illustrate the one or more interconnections between these layers. Those skilled in the relevant art(s) will recognize the standard cell 500 and the standard cell variation 502 can include the one or more diffusion layers, the one or more polysilicon layers, and/or the one or more metal layers without departing from the spirit and the scope of the present disclosure.


As discussed above, the one or more semiconductor foundries and/or the one or more semiconductor technology nodes can impose the one or more electronic design constraints onto the one or more diffusion layers, the one or more polysilicon layers, and/or the one or more metal layers as well as the one or more interconnections between these layers. The one or more electronic design constraints can restrict legal sites within an electronic device design real estate, such as the electronic device design real estate 300 to provide an example, which can be utilized for placement of the standard cell 500 and/or the standard cell variation 502. As illustrated in FIG. 5A and FIG. 5B, the standard cell 500 and the standard cell variation 502 include the one or more interconnections, illustrated as one or more squared “x” in FIG. 5A and FIG. 5B, to electrically connect the standard cell 500 and the standard cell variation 502, respectively, to various electrical potentials, such as a power potential and/or a ground potential to provide some examples.


In the exemplary embodiment illustrated in FIG. 5A and FIG. 5B, the one or more semiconductor foundries and/or the one or more semiconductor technology nodes recommend one or more locations for the one or more interconnections within the electronic device design real estate. For example, a 12 nm semiconductor technology node, as also referred to as a N12 semiconductor technology node, recommends the one or more interconnections be located at least twice a minimum spacing, also referred to as a polysilicon pitch, between various polysilicon regions within the one or more polysilicon layers of the semiconductor stack. In this example as illustrated in FIG. 5A, the one or more interconnections of the standard cell 500 can coincide with even numbered electrical design tracks 504.2 through 504.h from among electrical design tracks 504.1 through 504.h to satisfy the location for the one or more interconnections by the N12 semiconductor technology node. The electrical design tracks 504.1 through 504.h represent logical electrical design tracks within the electronic device design real estate for placement of the standard cell 500 and/or the standard cell variation 502. In the exemplary embodiment illustrated in FIG. 5A and 5B, the electrical design tracks 504.1 through 504.h are separated from each other by at least one polysilicon pitch. Similarly in this example as illustrated in FIG. 5B, the one or more interconnections of the standard cell variation 502 can coincide with odd numbered electrical design tracks 504.1 through 504.(h-1) from among the electrical design tracks 504.1 through 504.h to satisfy the location for the one or more interconnections by the N12 semiconductor technology node. In the exemplary embodiment illustrated in FIG. 5B, the standard cell variation 502 has a similar functionality as the standard cell 500, but differs from the standard cell 500 in placement of the one or more interconnections.



FIG. 6A and 6B further illustrate the exemplary semiconductor layout diagrams of the first exemplary standard cell and the first exemplary standard cell variation corresponding to the first standard cell, respectively, according to exemplary embodiments of the present disclosure. FIG. 6A and FIG. 6B illustrate simplified exemplary layout diagrams of a standard cell 600 for a logical NAND gate and a standard cell variation 602 for the logical NAND gate, respectively, situated within a semiconductor stack. The standard cell 600 and the standard cell variation 602 can represent exemplary embodiments of the standard cell 500 as described above in FIG. 5A and the standard cell variation 502 as described above in FIG. 5B.


As illustrated in FIG. 6A, the standard cell 600 includes the one or more interconnections, illustrated as the one or more squared “x” in FIG. 6A and FIG. 6B, situated in even numbered electrical design tracks 504.2, 504.4, and 504.6 from among the electrical design tracks 504.1 through 504.6 to satisfy the location for the one or more interconnections by the N12 semiconductor technology node and the standard cell variation 602 includes the one or more interconnections situated in odd numbered electrical design tracks 504.3 and 504.5 from among the electrical design tracks 504.1 through 504.6 satisfy the location for the one or more interconnections by the N12 semiconductor technology node. Moreover, FIG. 6A and FIG. 6B further illustrate one or more metal layers, illustrated using gray shading in FIG. 6A and FIG. 6B, and/or one or more other interconnections, illustrated as one or more squared “+” in FIG. 6A and FIG. 6B within the semiconductor stack. As shown in FIG. 6A and FIG. 6B, geometric shapes, locations of the geometric shapes, and/or interconnections between the geometric shapes of the one or more metal layers and/or the one or more other interconnections between the one or more metal layers can also vary between the standard cell 600 and the standard cell variation 602 in some situations. Although the one or more metal layers and/or the one or more other interconnections can vary between the standard cell 600 and the standard cell variation 602, those skilled in the relevant art(s) will recognize the standard cell 600 and the standard cell variation 602 have similar functionality as each other, namely, logical NAND operations, without departing from the spirit and the scope of the present disclosure. However, those skilled in the relevant art(s) will recognize other functionality for the standard cell 600 and/or the standard cell variation 602 is possible without departing from the spirit and scope of the present disclosure.



FIG. 7A and 7B illustrate exemplary semiconductor layout diagrams of a second exemplary standard cell and a second exemplary standard cell variation corresponding to the second exemplary standard cell, respectively, according to exemplary embodiments of the present disclosure. FIG. 7A and FIG. 7B illustrate simplified exemplary layout diagrams of a standard cell 700 and a standard cell variation 702, respectively, situated within a semiconductor stack. The semiconductor stack includes one or more diffusion layers, one or more polysilicon layers, and/or one or more metal layers as well as one or more interconnections between these layers. For simplicity, FIG. 7A and FIG. 7B illustrate legal sites occupied by the standard cell 700 and the standard cell variation 702 within an electronic device design real estate, such as the electronic device design real estate 300 to provide an example. Those skilled in the relevant art(s) will recognize the standard cell 700 and the standard cell variation 702 can include the one or more diffusion layers, the one or more polysilicon layers, and/or the one or more metal layers as well as the one or more interconnections between these layers without departing from the spirit and the scope of the present disclosure.


The standard cell 700 and the standard cell variation 702 have similar functionality but different in terms of the configuration and the arrangement of the legal sites 704.1 through 704.p occupied by the standard cell 700 and the standard cell variation 702. As illustrated in FIG. 7A, the standard cell 700 is configured and arranged in a rectangular manner in a first direction, such as along an “x” axis of a Cartesian coordinate system to provide an example, to occupy legal sites 704.1 through 704.p. Also, the standard cell variation 702 is configured and arranged in a “L-shaped” manner in the first direction, to occupy the legal sites 704.1 through 704.p as illustrated in FIG. 7B. Those skilled in the relevant art(s) will recognize that other standard cell variations as possible for the standard cell 700 having other rectilinear configurations and arrangements of the legal sites 704.1 through 704.p without departing from the spirit and scope of the present disclosure.


Placement of the Standard Cells and/or the Standard Cell Variations



FIG. 8 illustrates a flowchart of exemplary operation for placing standard cells and/or standard cell variations from among the libraries of standard cells according to an exemplary embodiment of the present disclosure. The disclosure is not limited to this operational description. Rather, it will be apparent to ordinary persons skilled in the relevant art(s) that other operational control flows are within the scope and spirit of the present disclosure. The following discussion describes an exemplary operational control flow 800 of a placing and routing application, such as the placing and routing application 104 to provide an example, in placing one or more standard cells and/or one or more standard cell variations from among libraries of standard cells, such as the libraries of standard cells 110 as described above in FIG. 1A and/or the libraries of standard cells 200 as described above in FIG. 2 to provide some examples.


At operation 802, the operational control flow 800 selects a standard cell from among libraries of standard cells, such one of the standard cells from among the libraries of standard cells 110 as described above in FIG. 1A and/or one of the standard cells 204.1 through 204.b from among the standard cell library 202 as described above in FIG. 2, for placement onto an electronic device design real estate, such as the electronic device design real estate 300 to provide an example. The standard cell includes geometric shapes, locations of the geometric shapes, and/or interconnections between the geometric shapes which can be used to implement one or more of the one or more logic operations, the one or more arithmetic operations, the one or more control operations, and/or any other suitable operation or operations that will be apparent to those skilled in the relevant art(s) without departing from the spirit and the scope of the present disclosure of the one or more high-level software level descriptions for the analog circuitry and/or the digital circuitry of the electronic device.


At operation 804, the operational control flow 800 determines whether the placement of the standard cell from operation 802 represents a legal placement of the standard cell from operation 802 onto the electronic device design real estate. In the exemplary embodiment illustrated in FIG. 8, when the placement of the standard cell from operation 802 satisfies one or more electronic design constraints, this placement of the standard cell from operation 802 represents a legal placement of the standard cell from operation 802 onto the electronic device design real estate. The one or more electronic design constraints can include one or more recommended parameters as defined by one or more semiconductor foundries and/or one or more semiconductor technology nodes for fabricating the electronic device. The one or more recommended parameters can include recommended geometric shapes, recommended locations of the geometric shapes, recommended interconnections between the geometric shapes, and/or any other suitable electronic design constraint or electronic design constraints that will be apparent to those skilled in the relevant art(s) without departing from the spirit and the scope of the present disclosure. For example, the one or more recommended parameters can include recommended locations for power, ground, and/or input/output connections, recommended locations of one or more diffusion layers, one or more polysilicon layers, one or more metal layers, and/or one or more interconnections between the layers onto the electronic device design real estate. The operational control flow 800 reverts to operation 802 to select another standard cell from among the library of standard cells when the placement of the standard cell from operation 802 represents the legal placement of the standard cell from operation 802 onto the electronic device design real estate. Otherwise, the operational control flow 800 proceeds to operation 806 when the placement of the standard cell from operation 802 does not represent the legal placement of the standard cell from operation 802 onto the electronic device design real estate.


At operation 806, the operational control flow 800 mirrors the standard cell from operation 802 along a linear axis, such as along an “x” axis of a Cartesian coordinate system or a “y” axis of a Cartesian coordinate system to provide some examples. The mirrored standard cell has similar functionality as the standard cell from operation 802 but the geometric shapes, the locations of the geometric shapes, and/or the interconnections between the geometric shapes of the mirrored standard cell of the mirrored standard cell are mirrored about the linear axis when compared to the standard cell from operation 802.


At operation 808, the operational control flow 800 determines whether the placement of the mirrored standard cell from operation 806 represents a legal placement of the mirrored standard cell from operation 806 onto the electronic device design real estate in a substantially manner as described above in operation 804. The operational control flow 800 reverts to operation 802 to select another standard cell from among the library of standard cells when the placement of the mirrored standard cell from operation 806 represents the legal placement of the mirrored standard cell from operation 806 onto the electronic device design real estate. Otherwise, the operational control flow 800 proceeds to operation 810 when the placement of the mirrored standard cell from operation 806 does not represent the legal placement of the mirrored standard cell from operation 806 onto the electronic device design real estate.


At operation 810, the operational control flow 800 selects a standard cell variation from among libraries of standard cells, such one of the standard cell variations from among the libraries of standard cells 110 as described above in FIG. 1A and/or one of the standard cell variations 208.1.1 through 208.2.b and/or standard cell variations 208.1.a through 208.2.a from among the standard cell variation library 206.1 and the standard cell variation library 206.a, respectively, as described above in FIG. 2, for placement onto the electronic device design real estate. The standard cell variation from the among the libraries of standard cells represents a variation of the standard cell from operation 802 having similar functionality as the standard cell from operation 802 but is different in terms of the geometric shapes, the locations of the geometric shapes, and/or the interconnections between the geometric shapes.


At operation 812, the operational control flow 800 determines whether the placement of the standard cell variation from operation 810 represents a legal placement of the standard cell variation from operation 810 onto the electronic device design real estate in a substantially manner as described above in operation 804. The operational control flow 800 reverts to operation 802 to select another standard cell from among the library of standard cells when the placement of the standard cell variation from operation 810 represents the legal placement of the standard cell variation from operation 810 onto the electronic device design real estate. Otherwise, the operational control flow 800 proceeds to reverts to operation 810 to select another standard cell variation from among libraries of standard cells for placement onto the electronic device design real estate. when the placement of the standard cell variation from operation 810 does not represent the legal placement of the standard cell variation from operation 810 onto the electronic device design real estate.


Exemplary Computer System for Implementing the Exemplary Design Platform



FIG. 9 illustrates a block diagram of an exemplary computer system for implementing the exemplary design platform according to an exemplary embodiment of the present disclosure. A computer system 900 can be used to implement the electronic design platform 100 and/or the electronic design platform 120. However, in some situations, more than one computer system 900 can be used to implement the electronic design platform 100. After reading this description, it will become apparent to a person skilled in the relevant art how to implement embodiments using other computer systems and/or computer architectures.


The computer system 900 includes one or more processors 904, also referred to as central processing units, or CPUs, to execute the synthesis application 102, the placing and routing application 104, the simulation application 106, and/or the verification as described above in FIG. 1A and/or the FEOL processing application 122, the MEOL processing application 124, and the BEOL processing application 126 as described above in FIG. 1B. The one or more processors 904 can be connected to a communication infrastructure or bus 906. In an exemplary embodiment, one or more of the one or more processors 904 can be implemented as a graphics processing unit (GPU). The GPU represents a specialized electronic circuit designed to rapidly process mathematically intensive applications on electronic devices. The GPU may have a highly parallel structure that is efficient for parallel processing of large blocks of data, such as mathematically intensive data common to computer graphics applications, images and videos.


The computer system 900 also includes user input/output device(s) 903, such as monitors, keyboards, pointing devices, etc., which communicate with communication infrastructure 906 through user input/output interface(s) 902.


The computer system 900 also includes a main or primary memory 908, such as a random-access memory (RAM) to provide an example. The main memory 908 can include one or more levels of cache. The main memory 908 has stored therein control logic (i.e., computer software) and/or data, such as the libraries of standard cells 110 as described above in FIG. 1A. The computer system 900 can also include one or more secondary storage devices or memory 910 to store the libraries of standard cells 110 as described above in FIG. 1A. The one or more secondary storage devices or memory 910 can include, for example, a hard disk drive 912 and/or a removable storage device or drive 914. The removable storage drive 914 may be a floppy disk drive, a magnetic tape drive, a compact disk drive, an optical storage device, tape backup device, and/or any other storage device/drive. The removable storage drive 914 may interact with a removable storage unit 918. The removable storage unit 918 includes a computer usable or readable storage device having stored thereon computer software (control logic) and/or data. The removable storage unit 918 may be a floppy disk, magnetic tape, compact disk, DVD, optical storage disk, and/any other computer data storage device. The removable storage drive 914 reads from and/or writes to removable storage unit 918 in a well-known manner.


According to an exemplary embodiment, the one or more secondary storage devices or memory 910 may include other means, instrumentalities or other approaches for allowing computer programs and/or other instructions and/or data to be accessed by computer system 900. Such means, instrumentalities or other approaches may include, for example, a removable storage unit 922 and an interface 920. Examples of the removable storage unit 922 and the interface 920 may include a program cartridge and cartridge interface (such as that found in video game devices), a removable memory chip (such as an EPROM or PROM) and associated socket, a memory stick and USB port, a memory card and associated memory card slot, and/or any other removable storage unit and associated interface.


The computer system 900 may further include a communication or network interface 924. The communication or network interface 924 enables the computer system 900 to communicate and interact with any combination of remote devices, remote networks, remote entities, etc. (individually and collectively referenced by reference number 928). For example, the communication or network interface 924 may allow the computer system 900 to communicate with the remote devices 928 over a communications path 926, which may be wired and/or wireless, and which may include any combination of LANs, WANs, the Internet, etc. Control logic and/or data may be transmitted to and from the computer system 900 via communication path 926. In an exemplary embodiment, the remote devices 928 can include one or more computing devices, processors, controllers, or other devices that will be apparent to those skilled in the relevant art(s) without departing from the spirit and the scope of the present disclosure, executing the electronic design platform 100 as described above in FIG. 1A. In another exemplary embodiment, the remote devices 928 can include one or more computing devices, processors, controllers, or other devices that will be apparent to those skilled in the relevant art(s) without departing from the spirit and the scope of the present disclosure, executing the electronic design platform 120 as described above in FIG. 1B.


In an embodiment, a tangible apparatus or article of manufacture comprising a tangible computer useable or readable medium having control logic (software) stored thereon is also referred to herein as a computer program product or program storage device. This includes, but is not limited to, the computer system 900, the main memory 908, the secondary memory 910, and the removable storage units 918 and 922, as well as tangible articles of manufacture embodying any combination of the foregoing. Such control logic, that when executed by one or more data processing devices (such as computer system 900), causes such data processing devices to operate as described herein.


Based on the teachings contained in this disclosure, it will be apparent to persons skilled in the relevant art(s) how to make and use the invention using data processing devices, computer systems and/or computer architectures other than that shown in FIG. 9. In particular, embodiments may operate with software, hardware, and/or operating system implementations other than those described herein.


CONCLUSION

The foregoing Detailed Description discloses a system for developing an electronic architectural design for an electronic device. The system includes a memory and a processor. The memory stores standard cell libraries, the standard cell libraries including standard cells and standard cell variations. The processor executes a placing and routing application. The placing and routing application, when executed by the processor, causes the processor to: place the standard cells onto an electronic device design real estate, select a first standard cell variation from among the standard cell variations that corresponds to a first standard cell from among the standard cells when the first standard cell does not satisfy an electronic design constraint, substitute the first standard cell variation for the first standard cell within the electronic device design real estate when the first standard cell does not satisfy an electronic design constraint, and route standard cells from among the standard cells placed onto the electronic device design real estate and the first standard cell variation to develop the electronic architectural design for the electronic device.


The foregoing Detailed Description additionally discloses a method for developing standard cell libraries. The method includes selecting a standard cell from among a standard cell library from among the standard cell libraries, comparing a number of legal sites occupied by the standard cell with a threshold number of legal sites, and developing a standard cell variation library including a standard cell variation corresponding to the standard cell when the number of legal sites occupied by the standard cell is less than or equal to a threshold number of legal sites.


The foregoing Detailed Description further discloses a system for fabricating an electronic device onto a semiconductor substrate. The system includes a memory and a processor. The memory stores an electronic architectural design for the electronic device, the architectural design being developed utilizing multiple standard cell variation libraries, the multiple standard cell variation libraries including multiple standard cell variations corresponding to standard cells from among multiple standard cells that occupy less than or equal to a threshold number of legal sites. The processor executes a front-end-of-line (FEOL) processing application, the FEOL, when executed by the processor, configures the processor to form one or more semiconductor devices of the electronic device within and/or onto the semiconductor substrate in accordance with the electronic architectural design.

Claims
  • 1. A method for fabricating an electronic device onto a semiconductor substrate, the method comprising: receiving, by a computer system, a standard cell library having a standard cell and a standard cell variation corresponding to the standard cell from a semiconductor foundry, the standard cell and the standard cell variation were developed to occupy different arrangements of a plurality of legal sites of the electronic architectural design;developing, by the computer system, an electronic architectural design for the electronic device utilizing the standard cell library;forming, by the computer system, one or more semiconductor devices of the electronic device within or onto the semiconductor substrate in accordance with the electronic architectural design;forming, by the computer system, one or more local interconnections in accordance with the electronic architectural design for electrically connecting the one or more semiconductor devices; andforming, by the computer system, one or more global interconnections between the one or more local interconnections in accordance with the electronic architectural design to form the electronic device.
  • 2. The method of claim 1, wherein the developing comprises: placing the standard cell onto electronic device design real estate;substituting the standard cell variation for the standard cell within the electronic device design real estate when the standard cell does not satisfy an electronic design constraint; androuting the standard cell or the standard cell variation to develop a portion of the electronic architectural design.
  • 3. The method of claim 2, wherein the electronic design constraint comprises: one or more recommended locations for one or more power connections, one or more ground connections, or one or more input/output connections for the standard cell;one or more recommended locations for one or more diffusion layers, one or more polysilicon layers, or one or more metal layers for the standard cell; orone or more recommended locations for one or more interconnections between the one or more diffusion layers, the one or more polysilicon layers, or the one or more metal layers.
  • 4. The method of claim 1, wherein the standard cell, including a first plurality of geometric shapes and a first plurality of interconnections, is configured and arranged to perform a function, and wherein the standard cell variation, including a second plurality of geometric shapes and a second plurality of interconnections different from the first plurality of geometric shapes and the first plurality of interconnections, respectively, is configured and arranged to perform the function.
  • 5. The method of claim 1, wherein the standard cell variation was developed when a number of legal sites occupied by the standard cell was less than or equal to a threshold number of legal sites.
  • 6. The method of claim 1, wherein the standard cell is configured and arranged to occupy a first arrangement of legal sites in a first direction, and wherein the first arrangement of legal sites was adjusted to be a second arrangement of legal sites in the first direction and a second direction to develop the standard cell variation.
  • 7. The method of claim 1, wherein the plurality of legal sites includes a series of rows of legal sites intersecting a series of columns of legal sites to form an array of legal sites, wherein the standard cell and the standard cell variation occupy a same number of legal sites from among the plurality of legal sites, andwherein the standard cell variation occupies more rows of legal sites from among the series of rows of legal sites than the standard cell.
  • 8. A system for fabricating an electronic device onto a semiconductor substrate, the system comprising: a memory that stores an electronic architectural design for the electronic device that was developed utilizing a standard cell library having a standard cell and a standard cell variation that corresponds to the standard cell, the standard cell and the standard cell variation being developed to occupy different arrangements of a plurality of legal sites of the electronic architectural design; anda processor configured to execute one or more electronic design software applications, the one or more electronic design software applications, when executed by the processor, configuring the processor to: form one or more semiconductor devices of the electronic device within or onto the semiconductor substrate in accordance with the electronic architectural design,form one or more local interconnections in accordance with the electronic architectural design for electrically connecting the one or more semiconductor devices, andform one or more global interconnections between the one or more local interconnections in accordance with the electronic architectural design to form the electronic device.
  • 9. The system of claim 8, wherein the one or more electronic design software applications, when executed by the processor, further configure the processor to develop the electronic architectural design for the electronic device utilizing the standard cell library.
  • 10. The system of claim 9, wherein the one or more electronic design software applications, when executed by the processor, configure the processor to: place the standard cell onto electronic device design real estate;substitute the standard cell variation for the standard cell within the electronic device design real estate when the standard cell does not satisfy an electronic design constraint; androute the standard cell or the standard cell variation to develop a portion of the electronic architectural design.
  • 11. The system of claim 10, wherein the electronic design constraint comprises: one or more recommended locations for one or more power connections, one or more ground connections, or one or more input/output connections for the standard cell;one or more recommended locations for one or more diffusion layers, one or more polysilicon layers, or one or more metal layers for the standard cell; orone or more recommended locations for one or more interconnections between the one or more diffusion layers, the one or more polysilicon layers, or the one or more metal layers.
  • 12. The system of claim 8, wherein the standard cell, including a first plurality of geometric shapes and a first plurality of interconnections, is configured and arranged to perform a function, and wherein the standard cell variation, including a second plurality of geometric shapes and a second plurality of interconnections different from the first plurality of geometric shapes and the first plurality of interconnections, respectively, is configured and arranged to perform the function.
  • 13. The system of claim 8, wherein the standard cell variation was developed when a number of legal sites occupied by the standard cell was less than or equal to a threshold number of legal sites.
  • 14. The system of claim 8, wherein the standard cell is configured and arranged to occupy a first arrangement of legal sites in a first direction, and wherein the first arrangement of legal sites was adjusted to be a second arrangement of legal sites in the first direction and a second direction to develop the standard cell variation.
  • 15. The system of claim 8, wherein the one or more electronic design software applications, when executed by the processor, further configure the processor to receive the standard cell library from a semiconductor foundry.
  • 16. A system for fabricating circuitry of an electronic device onto a semiconductor substrate, the system comprising: a memory that stores an electronic architectural design for the electronic device that was developed utilizing a standard cell library having a standard cell and a standard cell variation that corresponds to the standard cell, the standard cell and the standard cell variation being developed to occupy different arrangements of a plurality of legal sites of the electronic architectural design; anda processor configured to perform a sequence of photo lithographic and chemical processing steps to create the circuitry of the electronic device on the semiconductor substrate in accordance with the electronic architectural design.
  • 17. The system of claim 16, wherein the processor is further configured to develop the electronic architectural design for the electronic device utilizing the standard cell library.
  • 18. The system of claim 17, wherein the processor is further configured to: place the standard cell onto electronic device design real estate;substitute the standard cell variation for the standard cell within the electronic device design real estate when the standard cell does not satisfy an electronic design constraint; androute the standard cell or the standard cell variation to develop a portion of the electronic architectural design.
  • 19. The system of claim 16, wherein the electronic design constraint comprises: one or more recommended locations for one or more power connections, one or more ground connections, or one or more input/output connections for the standard cell;one or more recommended locations for one or more diffusion layers, one or more polysilicon layers, or one or more metal layers for the standard cell; orone or more recommended locations for one or more interconnections between the one or more diffusion layers, the one or more polysilicon layers, or the one or more metal layers.
  • 20. The system of claim 16, wherein the standard cell, including a first plurality of geometric shapes and a first plurality of interconnections, is configured and arranged to perform a function, and wherein the standard cell variation, including a second plurality of geometric shapes and a second plurality of interconnections different from the first plurality of geometric shapes and the first plurality of interconnections, respectively, is configured and arranged to perform the function.
  • 21. The system of claim 16, wherein the standard cell variation was developed when a number of legal sites occupied by the standard cell was less than or equal to a threshold number of legal sites.
CROSS-REFERENCE TO RELATED APPLICATIONS

The present application is a continuation of U.S. patent application Ser. No. 16/912,061, filed Jun. 25, 2020, now U.S. Pat. No. 11,182,533, which is a continuation of U.S. patent application Ser. No. 15/800,693, filed Nov. 1, 2017, now U.S. Pat. No. 10,741,539, which claims the benefit of U.S. Provisional Patent Appl. No. 62/552,049, filed Aug. 30, 2017, each of which is incorporated herein by reference in its entirety.

US Referenced Citations (279)
Number Name Date Kind
4580228 Noto Apr 1986 A
4905144 Hansen Feb 1990 A
5182719 Kuroda Jan 1993 A
5223733 Doi Jun 1993 A
5252507 Hively Oct 1993 A
5270944 Kuroda Dec 1993 A
5315130 Hively May 1994 A
5420800 Fukui May 1995 A
5459673 Carmean et al. Oct 1995 A
5477467 Rugg Dec 1995 A
5506788 Cheng Apr 1996 A
5570045 Erdal Oct 1996 A
5763907 Dallavalle Jun 1998 A
5790841 Scherer Aug 1998 A
5801959 Ding Sep 1998 A
5966517 Cronin, III Oct 1999 A
6066886 Egawa May 2000 A
6385761 Breid May 2002 B1
6453447 Gardner et al. Sep 2002 B1
6467074 Katsioulas et al. Oct 2002 B1
6756242 Regan Jun 2004 B1
6838713 Gheewala Jan 2005 B1
6868530 Wagner et al. Mar 2005 B2
7191425 Malik Mar 2007 B1
7225423 Bhattacharya May 2007 B2
7246337 Andreev Jul 2007 B2
7269803 Khakzadi Sep 2007 B2
7325214 Liao Jan 2008 B2
7343581 Becker Mar 2008 B2
7350173 Ang Mar 2008 B1
7496862 Chang Feb 2009 B2
7506295 Teig Mar 2009 B1
7543260 Ueda Jun 2009 B2
7562326 Wang et al. Jul 2009 B2
7564077 Ko Jul 2009 B2
7653884 Furnish et al. Jan 2010 B2
7739627 Chew et al. Jun 2010 B2
7966596 Lu et al. Jun 2011 B2
7979829 Smayling Jul 2011 B2
8024695 Reis Sep 2011 B2
8037441 Ringe Oct 2011 B2
8079008 Penzes Dec 2011 B2
8214778 Quandt et al. Jul 2012 B2
8468488 Burstein Jun 2013 B1
8490043 Gupta Jul 2013 B2
8543958 Chen et al. Sep 2013 B2
8549447 Eisenstadt Oct 2013 B2
8612914 Sherlekar et al. Dec 2013 B2
8631366 Hou et al. Jan 2014 B2
8645893 Yeung et al. Feb 2014 B1
8661388 Chen et al. Feb 2014 B2
8710671 Bobba et al. Apr 2014 B2
8726217 Gullette May 2014 B2
8739104 Penzes et al. May 2014 B1
8775999 Chueh et al. Jul 2014 B2
8782576 Bowers Jul 2014 B1
8832624 Shroff Sep 2014 B1
8832629 Rozen Sep 2014 B2
8878303 Hatamian Nov 2014 B2
8898610 Tagore-Brage Nov 2014 B1
8904319 Bist et al. Dec 2014 B2
8935643 Salem Jan 2015 B2
8959742 Frederick, Jr. et al. Feb 2015 B2
9009642 Saha Apr 2015 B1
9048121 Kawa et al. Jun 2015 B2
9087170 Hsu et al. Jul 2015 B2
9165103 Sal Oct 2015 B1
9171119 Aenuganti Oct 2015 B2
9177096 Sundareswaran et al. Nov 2015 B2
9292648 Chandra Mar 2016 B1
9424387 Quandt et al. Aug 2016 B2
9547740 Moroz Jan 2017 B2
9704846 Haigh et al. Jul 2017 B1
9705491 Durnan Jul 2017 B1
9727685 Yuan Aug 2017 B2
9767239 Buck Sep 2017 B1
9780002 Dai Oct 2017 B1
9852253 Manohar et al. Dec 2017 B2
9947590 Pritchard Apr 2018 B1
10031994 Liu Jul 2018 B1
10083269 De Dood et al. Sep 2018 B2
10162925 Chuang et al. Dec 2018 B2
10290653 Chen et al. May 2019 B2
10296700 Bai May 2019 B1
10331841 Ginetti Jun 2019 B1
10402528 Park et al. Sep 2019 B2
10521545 Wang Dec 2019 B2
10534883 Bai Jan 2020 B1
10741539 Chen et al. Aug 2020 B2
10747935 Li Aug 2020 B2
11120192 Xiang Sep 2021 B1
11132486 Prasad Sep 2021 B1
11144703 Wang Oct 2021 B1
20010052624 Houston Dec 2001 A1
20020006695 Hatsch Jan 2002 A1
20030011004 Anzai Jan 2003 A1
20030066037 Patra Apr 2003 A1
20030084418 Regan May 2003 A1
20040040007 Harn Feb 2004 A1
20040053429 Muranaka Mar 2004 A1
20040123262 Shirota Jun 2004 A1
20050156200 Kinoshita Jul 2005 A1
20050172253 Osanai Aug 2005 A1
20050182603 Freitas Aug 2005 A1
20050198601 Kuang Sep 2005 A1
20050246676 Sadakane Nov 2005 A1
20060018181 Matsunaga Jan 2006 A1
20060138464 Shimamura Jun 2006 A1
20060142988 Akiyama Jun 2006 A1
20060225016 Fukasawa Oct 2006 A1
20070029621 Tatsumi Feb 2007 A1
20070033548 Tatsumi Feb 2007 A1
20070094633 Andreev Apr 2007 A1
20070101306 Bowers May 2007 A1
20070101307 Ueda May 2007 A1
20070150846 Furnish Jun 2007 A1
20070277137 Savithri Nov 2007 A1
20070277139 Kurokawa Nov 2007 A1
20080040698 Ferrari Feb 2008 A1
20080043522 Fuji Feb 2008 A1
20080134106 Riviere-Cazaux Jun 2008 A1
20080137394 Shimano Jun 2008 A1
20080276215 Higuchi Nov 2008 A1
20090079465 Sasaki Mar 2009 A1
20090173972 Kishishita Jul 2009 A1
20090178013 Wang Jul 2009 A1
20090184759 Ishihara Jul 2009 A1
20090193374 Fujimoto Jul 2009 A1
20090224356 Chandra Sep 2009 A1
20090249275 Gotou Oct 2009 A1
20090267124 Bosshard Oct 2009 A1
20090271753 Quandt Oct 2009 A1
20090278222 Chadwick Nov 2009 A1
20090290439 Chang Nov 2009 A1
20090307640 Chapman Dec 2009 A1
20100049477 Sivan Feb 2010 A1
20100107133 Nakagawa Apr 2010 A1
20100115484 Frederick May 2010 A1
20100177544 Nevers Jul 2010 A1
20100235804 Ohtsuka Sep 2010 A1
20100281446 Hou Nov 2010 A1
20110020986 Houston Jan 2011 A1
20110185337 Kellgren Jul 2011 A1
20110231811 Tang Sep 2011 A1
20110272776 Omura Nov 2011 A1
20120001270 Kenneth Jan 2012 A1
20120136641 Fung May 2012 A1
20120173219 Rodriguez Jul 2012 A1
20120280282 Wu Nov 2012 A1
20130001649 Shimizu Jan 2013 A1
20130069169 Kwon Mar 2013 A1
20130086543 Agarwal Apr 2013 A1
20130090907 Maliassov Apr 2013 A1
20130116993 Maliassov May 2013 A1
20130125077 Rozen May 2013 A1
20130126978 Becker May 2013 A1
20130173239 Uemura Jul 2013 A1
20130242633 Liaw Sep 2013 A1
20130320454 Suzuki Dec 2013 A1
20140067344 Kawai Mar 2014 A1
20140115546 Wang Apr 2014 A1
20140122003 Jiang May 2014 A1
20140178610 Clavelle Jun 2014 A1
20140189680 Kripalani Jul 2014 A1
20140210014 Ma Jul 2014 A1
20140217513 Hayashi Aug 2014 A1
20140282300 Katakamsetty Sep 2014 A1
20140350904 Shimanuki Nov 2014 A1
20140370416 Alhazmi Dec 2014 A1
20150057828 Civilini Feb 2015 A1
20150089457 Agarwal Mar 2015 A1
20150143309 De Dood et al. May 2015 A1
20150145070 Song May 2015 A1
20150161319 Hsu Jun 2015 A1
20150220311 Salter Aug 2015 A1
20150270214 Chuang Sep 2015 A1
20150278704 Kim Oct 2015 A1
20160049369 Heo Feb 2016 A1
20160055283 Oh et al. Feb 2016 A1
20160055284 Baek Feb 2016 A1
20160085898 Manohar et al. Mar 2016 A1
20160085904 Song et al. Mar 2016 A1
20160125555 Branets May 2016 A1
20160154918 Li Jun 2016 A1
20160180002 Park et al. Jun 2016 A1
20160283641 Bou-Ghazale et al. Sep 2016 A1
20160335389 Yuan Nov 2016 A1
20160356677 Bollas Dec 2016 A1
20170012048 Tsuboi Jan 2017 A1
20170032073 Chen Feb 2017 A1
20170053057 Riviere-Cazaux Feb 2017 A1
20170068769 Singh et al. Mar 2017 A1
20170083654 Chuang Mar 2017 A1
20170161424 Tsai Jun 2017 A1
20170186706 Guilley Jun 2017 A1
20170228489 Hellner Aug 2017 A1
20170243788 Shimbo Aug 2017 A1
20170243888 Shimbo Aug 2017 A1
20170256548 Hsu Sep 2017 A1
20170271317 Gotou Sep 2017 A1
20170277819 Kim Sep 2017 A1
20170294430 Seo et al. Oct 2017 A1
20170323046 Hsu Nov 2017 A1
20170323902 Zeng Nov 2017 A1
20170344692 Oh Nov 2017 A1
20170345809 Chang Nov 2017 A1
20180068043 Frisch Mar 2018 A1
20180068046 Lin Mar 2018 A1
20180102354 Wang Apr 2018 A1
20180107780 Chen et al. Apr 2018 A1
20180138330 Choi May 2018 A1
20180144082 Hanchinal May 2018 A1
20180150589 Yang et al. May 2018 A1
20180188415 Imhof Jul 2018 A1
20180204765 Song Jul 2018 A1
20180314783 Moroz Nov 2018 A1
20180322436 Sotiroudas Nov 2018 A1
20180342462 Kuchanuri Nov 2018 A1
20180365363 Heilprin Dec 2018 A1
20190001657 Matusik Jan 2019 A1
20190042689 Chan Feb 2019 A1
20190057179 Lee Feb 2019 A1
20190065650 Pelloie Feb 2019 A1
20190094709 Chen Mar 2019 A1
20190121929 Chen Apr 2019 A1
20190138673 Roberts May 2019 A1
20190147133 Lin May 2019 A1
20190155984 Chen May 2019 A1
20190236239 Lin Aug 2019 A1
20190294754 Roberts Sep 2019 A1
20190303523 Xu Oct 2019 A1
20190392106 Northrop Dec 2019 A1
20190392110 Huda Dec 2019 A1
20200004912 Hsu Jan 2020 A1
20200019666 Lai Jan 2020 A1
20200019667 Lin Jan 2020 A1
20200074042 Biswas Mar 2020 A1
20200082032 Hills Mar 2020 A1
20200082035 Saito Mar 2020 A1
20200082036 Saito Mar 2020 A1
20200089840 Wang Mar 2020 A1
20200151297 Kao May 2020 A1
20200159882 Shah May 2020 A1
20200167439 Mailoa May 2020 A1
20200209832 Behandish Jul 2020 A1
20200226229 Liu Jul 2020 A1
20200271801 Kayum Aug 2020 A1
20200328202 Chen et al. Oct 2020 A1
20200350305 Yamagami Nov 2020 A1
20210020623 Trester Jan 2021 A1
20210028472 Tao Jan 2021 A1
20210042461 Hu Feb 2021 A1
20210090627 Gupta Mar 2021 A1
20210110094 Lin Apr 2021 A1
20210165946 Chae Jun 2021 A1
20210232749 Lin Jul 2021 A1
20210240896 Ayyagari Aug 2021 A1
20210240900 Peng Aug 2021 A1
20210240901 Ku Aug 2021 A1
20210334449 Yu Oct 2021 A1
20210343695 Chiu Nov 2021 A1
20210343696 Chae Nov 2021 A1
20210343698 Peng Nov 2021 A1
20210357561 Yu Nov 2021 A1
20210391328 Sio Dec 2021 A1
20210391850 Wang Dec 2021 A1
20220011465 Kibodeaux Jan 2022 A1
20220012399 Peng Jan 2022 A1
20220058330 Chen Feb 2022 A1
20220058331 Lim Feb 2022 A1
20220129614 Yang Apr 2022 A1
20220171912 Sherlekar Jun 2022 A1
20220189945 Lee Jun 2022 A1
20220226902 Bailo Gil Jul 2022 A1
20220229965 Cho Jul 2022 A1
20220262786 Yu Aug 2022 A1
20220309210 Maiti Sep 2022 A1
20220335193 Peng Oct 2022 A1
20220335197 Hsu Oct 2022 A1
Foreign Referenced Citations (23)
Number Date Country
107463995 Dec 2017 CN
107735864 Feb 2018 CN
108038340 May 2018 CN
108319791 Jul 2018 CN
108763737 Nov 2018 CN
109324291 Feb 2019 CN
111985048 Nov 2020 CN
112507526 Mar 2021 CN
112582635 Mar 2021 CN
102006059829 Jun 2008 DE
102019121461 Dec 2020 DE
59132144 Jul 1984 JP
08316331 Nov 1996 JP
2002043550 Feb 2002 JP
2003209191 Jul 2003 JP
2005092389 Apr 2005 JP
2006252319 Sep 2006 JP
10-2016-0023535 Mar 2016 KR
10-2016-0034167 Mar 2016 KR
I470461 Jan 2015 TW
I475695 Mar 2015 TW
I534643 May 2016 TW
WO-0031871 Jun 2000 WO
Non-Patent Literature Citations (2)
Entry
Adya et al., Combinatorial Techniques for Mixed-Size Placement, ACM Transactions on Design Automation of Electronic Systems, Jan. 2005, pp. 58-90, vol. 10, No. 1.
Office Action, dated Apr. 17, 2020, for German Intellectual Property Office Appl. No. 102017127276.3, 14 pages.
Related Publications (1)
Number Date Country
20220067266 A1 Mar 2022 US
Provisional Applications (1)
Number Date Country
62552049 Aug 2017 US
Continuations (2)
Number Date Country
Parent 16912061 Jun 2020 US
Child 17523600 US
Parent 15800693 Nov 2017 US
Child 16912061 US