Kuo-Chang Kau

Person

  • Yuanli Township, TW

Patents Grantslast 30 patents

  • Information Patent Grant

    Mask cleaning

    • Patent number 11,921,434
    • Issue date Mar 5, 2024
    • Taiwan Semiconductor Manufacturing Company, Ltd
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    Mask cleaning

    • Patent number 11,740,563
    • Issue date Aug 29, 2023
    • Taiwan Semiconductor Manufacturing Company, Ltd
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    Mask cleaning

    • Patent number 11,256,179
    • Issue date Feb 22, 2022
    • Taiwan Semiconductor Manufacturing Company, Ltd
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    Material composition and methods thereof

    • Patent number 10,825,684
    • Issue date Nov 3, 2020
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    Lithography patterning with a gas phase resist

    • Patent number 10,514,610
    • Issue date Dec 24, 2019
    • Taiwan Semiconductor Manufacturing Co., Ltd
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    Mask cleaning

    • Patent number 10,459,352
    • Issue date Oct 29, 2019
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    Lithography patterning with a gas phase resist

    • Patent number 10,018,920
    • Issue date Jul 10, 2018
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    System and method for photomask particle detection

    • Patent number 9,607,833
    • Issue date Mar 28, 2017
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Shang-Chieh Chien
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Grant

    Method of patterning a material layer

    • Patent number 9,570,302
    • Issue date Feb 14, 2017
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Shu-Hao Chang
    • H01 - BASIC ELECTRIC ELEMENTS

Patents Applicationslast 30 patents

  • Information Patent Application

    Mask Cleaning

    • Publication number 20230124211
    • Publication date Apr 20, 2023
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    DUAL CRITICAL DIMENSION PATTERNING

    • Publication number 20220328304
    • Publication date Oct 13, 2022
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Kuo-Chang Kau
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Mask Cleaning

    • Publication number 20220179326
    • Publication date Jun 9, 2022
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Mask Cleaning

    • Publication number 20200050118
    • Publication date Feb 13, 2020
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Lithography Patterning with a Gas Phase Resist

    • Publication number 20180314167
    • Publication date Nov 1, 2018
    • Taiwan Semiconductor Manufacturing Co., LTD
    • Shu-Hao Chang
    • B05 - SPRAYING OR ATOMISING IN GENERAL APPLYING LIQUIDS OR OTHER FLUENT MATER...
  • Information Patent Application

    MATERIAL COMPOSITION AND METHODS THEREOF

    • Publication number 20170271150
    • Publication date Sep 21, 2017
    • Taiwan Semiconductor Manufacturing Co., LTD
    • Shu-Hao CHANG
    • H01 - BASIC ELECTRIC ELEMENTS
  • Information Patent Application

    Lithography Patterning with a Gas Phase Resist

    • Publication number 20170256418
    • Publication date Sep 7, 2017
    • Taiwan Semiconductor Manufacturing Co., LTD
    • SHU-HAO CHANG
    • H01 - BASIC ELECTRIC ELEMENTS
  • Information Patent Application

    MASK CLEANING

    • Publication number 20170060005
    • Publication date Mar 2, 2017
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    System And Method For Photomask Particle Detection

    • Publication number 20160225610
    • Publication date Aug 4, 2016
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Shang-Chieh Chien
    • H01 - BASIC ELECTRIC ELEMENTS
  • Information Patent Application

    Semiconductor Integrated Circuit Fabrication With Pattern-Reversing...

    • Publication number 20150332922
    • Publication date Nov 19, 2015
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Ming-Chin Chien
    • H01 - BASIC ELECTRIC ELEMENTS