Norman CHEN

Person

  • Hsin-Chu, TW

Patents Grantslast 30 patents

Patents Applicationslast 30 patents

  • Information Patent Application

    Mask Cleaning

    • Publication number 20230124211
    • Publication date Apr 20, 2023
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Mask Cleaning

    • Publication number 20220179326
    • Publication date Jun 9, 2022
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Mask Cleaning

    • Publication number 20200050118
    • Publication date Feb 13, 2020
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    MASK CLEANING

    • Publication number 20170060005
    • Publication date Mar 2, 2017
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Shu-Hao Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Methodology For Implementing Enhanced Optical Lithography For Hole...

    • Publication number 20130286371
    • Publication date Oct 31, 2013
    • George Liu
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Methodology For Implementing Enhanced Optical Lithography For Hole...

    • Publication number 20080204688
    • Publication date Aug 28, 2008
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • George Liu
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Contrast Enhancing Exposure System and Method For Use In Semiconduc...

    • Publication number 20080206679
    • Publication date Aug 28, 2008
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • George Liu
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Semiconductor Device Having In-Chip Critical Dimension and Focus Pa...

    • Publication number 20080128924
    • Publication date Jun 5, 2008
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • George Liu
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    UTILIZATION OF ELECTRIC FIELD WITH ISOTROPIC DEVELOPMENT IN PHOTOLI...

    • Publication number 20080008967
    • Publication date Jan 10, 2008
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Vincent CHANG
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Top patterned hardmask and method for patterning

    • Publication number 20060211254
    • Publication date Sep 21, 2006
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • George Liu
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    Immersion lithography process and mask layer structure applied in t...

    • Publication number 20050123863
    • Publication date Jun 9, 2005
    • Vencent Chang
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY