Sheng Chi Yu

Person

  • Hsinchu Hsien, TW

Patents Grantslast 30 patents

Patents Applicationslast 30 patents

  • Information Patent Application

    SEMICONDUCTOR PROCESSING TOOL AND METHODS OF OPERATION

    • Publication number 20240142878
    • Publication date May 2, 2024
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Tai-Yu CHEN
    • H05 - ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
  • Information Patent Application

    EUV Lithography System With 3D Sensing and Tunning Modules

    • Publication number 20240103378
    • Publication date Mar 28, 2024
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Tai-Yu CHEN
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    RADIATION COLLECTOR

    • Publication number 20240004304
    • Publication date Jan 4, 2024
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Cheng Hung TSAI
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    LITHOGRAPHY SYSTEM AND METHODS

    • Publication number 20230400763
    • Publication date Dec 14, 2023
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Cheng Hung TSAI
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    ELECTRICAL CONNECTOR ASSEMBLY

    • Publication number 20230402791
    • Publication date Dec 14, 2023
    • Cheng Uei Precision Industry Co., LTD.
    • CHIH-HSIEN CHIANG
    • H01 - BASIC ELECTRIC ELEMENTS
  • Information Patent Application

    Acoustic Particle Deflection In Lithography Tool

    • Publication number 20230384696
    • Publication date Nov 30, 2023
    • Taiwan Semiconductor Manufacturing company Ltd.
    • Tai-Yu Chen
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    DEVICE AND METHOD TO REMOVE DEBRIS FROM AN EXTREME ULTRAVIOLET (EUV...

    • Publication number 20230375950
    • Publication date Nov 23, 2023
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Chun-Han LIN
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    METHODS OF CLEANING A LITHOGRAPHY SYSTEM

    • Publication number 20230375949
    • Publication date Nov 23, 2023
    • Taiwan Semiconductor Manufacturing company Ltd.
    • Cho-Ying LIN
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    PLUG CONNECTOR AND ELECTRICAL CONNECTOR ASSEMBLY INCLUDING THE PLUG...

    • Publication number 20230369803
    • Publication date Nov 16, 2023
    • Cheng Uei Precision Industry Co., LTD.
    • LI-NIEN HSU
    • H01 - BASIC ELECTRIC ELEMENTS
  • Information Patent Application

    NEW DESIGN OF EUV VESSEL PERIMETER FLOW AUTO ADJUSTMENT

    • Publication number 20230359125
    • Publication date Nov 9, 2023
    • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY , LTD.
    • Che-Chang HSU
    • G06 - COMPUTING CALCULATING COUNTING
  • Information Patent Application

    ACOUSTIC PARTICLE DEFLECTION IN LITHOGRAPHY TOOL

    • Publication number 20230333491
    • Publication date Oct 19, 2023
    • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    • Tai-Yu Chen
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    SEMICONDUCTOR PROCESSING TOOL AND METHODS OF OPERATION

    • Publication number 20230309194
    • Publication date Sep 28, 2023
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Wei-Chun YEN
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    SYSTEM AND METHOD FOR DYNAMICALLY CONTROLLING TEMPERATURE OF THERMO...

    • Publication number 20230288819
    • Publication date Sep 14, 2023
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Tzu-Jung Pan
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    EUV LITHOGRAPHY SYSTEM WITH 3D SENSING AND TUNNING MODULES

    • Publication number 20230280657
    • Publication date Sep 7, 2023
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Tai-Yu CHEN
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    LITHOGRAPHY THERMAL CONTROL

    • Publication number 20230284366
    • Publication date Sep 7, 2023
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Tai-Yu CHEN
    • H05 - ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
  • Information Patent Application

    ELECTRICAL CONNECTOR

    • Publication number 20230283025
    • Publication date Sep 7, 2023
    • Cheng Uei Precision Industry Co., LTD.
    • PEI-YI LIN
    • H01 - BASIC ELECTRIC ELEMENTS
  • Information Patent Application

    RADIATION SOURCE APPARATUS AND METHOD FOR USING THE SAME

    • Publication number 20230274850
    • Publication date Aug 31, 2023
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Wei-Chung TU
    • G21 - NUCLEAR PHYSICS NUCLEAR ENGINEERING
  • Information Patent Application

    RETICLE CLEANING DEVICE AND METHOD OF USE

    • Publication number 20230266680
    • Publication date Aug 24, 2023
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Che-Chang HSU
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    ONLINE CONTINUAL LEARNING METHOD AND SYSTEM

    • Publication number 20230222380
    • Publication date Jul 13, 2023
    • Macronix International Co., Ltd.
    • Sheng-Feng YU
    • G06 - COMPUTING CALCULATING COUNTING
  • Information Patent Application

    EUV LITHOGRAPHY APPARATUS

    • Publication number 20230215594
    • Publication date Jul 6, 2023
    • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY , LTD.
    • Cheng Hung TSAI
    • G03 - PHOTOGRAPHY CINEMATOGRAPHY ELECTROGRAPHY HOLOGRAPHY
  • Information Patent Application

    METHOD FOR USING RADIATION SOURCE APPARATUS

    • Publication number 20230164901
    • Publication date May 25, 2023
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Chiao-Hua CHENG
    • H05 - ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
  • Information Patent Application

    INSPECTION SYSTEM FOR EXTREME ULTRAVIOLET (EUV) LIGHT SOURCE

    • Publication number 20230142835
    • Publication date May 11, 2023
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Chiao-Hua Cheng
    • G06 - COMPUTING CALCULATING COUNTING
  • Information Patent Application

    Lithography Apparatus and Method

    • Publication number 20230132074
    • Publication date Apr 27, 2023
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Wei-Chun Yen
    • H05 - ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
  • Information Patent Application

    CERAMIC COMPOSITE AND METHOD OF PREPARING THE SAME

    • Publication number 20230076574
    • Publication date Mar 9, 2023
    • Industrial Technology Research Institute
    • Ming-Hui CHANG
    • C04 - CEMENTS CONCRETE ARTIFICIAL STONE CERAMICS REFRACTORIES
  • Information Patent Application

    DEVICE FOR TRANSFERRING ELECTRONIC COMPONENT AND METHOD FOR TRANSFE...

    • Publication number 20230068211
    • Publication date Mar 2, 2023
    • Skiileux Electricity Inc.
    • SHENG-HSIANG YU
    • B23 - MACHINE TOOLS METAL-WORKING NOT OTHERWISE PROVIDED FOR
  • Information Patent Application

    NEW DESIGN OF EUV VESSEL PERIMETER FLOW AUTO ADJUSTMENT

    • Publication number 20230061242
    • Publication date Mar 2, 2023
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Che-Chang HSU
    • G06 - COMPUTING CALCULATING COUNTING
  • Information Patent Application

    EUV LITHOGRAPHY APPARATUS

    • Publication number 20230062302
    • Publication date Mar 2, 2023
    • Taiwan Semiconductor Manufacturing Company, Ltd.
    • Cheng Hung TSAI
    • G21 - NUCLEAR PHYSICS NUCLEAR ENGINEERING
  • Information Patent Application

    NOVEL DESIGN OF AN INSPECTION TOOL FOR AN EXTREME ULTRAVIOLET RADIA...

    • Publication number 20230067967
    • Publication date Mar 2, 2023
    • Chiao-Hua CHENG
    • H01 - BASIC ELECTRIC ELEMENTS
  • Information Patent Application

    LITHOGRAPHY THERMAL CONTROL

    • Publication number 20230065403
    • Publication date Mar 2, 2023
    • Taiwan Semiconductor Manufacturing Co., Ltd.
    • Tai-Yu CHEN
    • H05 - ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
  • Information Patent Application

    SEMICONDUCTOR PROCESSING TOOL AND METHODS OF OPERATION

    • Publication number 20230038668
    • Publication date Feb 9, 2023
    • Taiwan Semiconductor Manufacturing Company Limited
    • Tai-Yu CHEN
    • H05 - ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR