The present application relates to semiconductor technology, and more particularly to a semiconductor structure including a single diffusion break including an airgap.
The myriad of transistors that are formed as part of an integrated circuit (IC) need to be electrically isolated from one another to properly function in an electrical circuit. Normally, this is achieved by forming a trench in a semiconductor substrate and then filling that trench with a trench dielectric material (e.g., silicon oxide). Such dielectric filled isolation trenches can be referred to a diffusion break. An individual transistor of an IC may be electrically isolated from other transistors using a single diffusion break (SDB). SDB technology can be used to reduce the distance between active device areas and enable area-scaling.
A semiconductor structure including a SDB containing an airgap located between neighboring source/drain regions is provided. The airgap has an upper region that has a first width and a lower region that has a second width that is less than the first width. The airgap is pinched off on the backside of the structure in a region that is in close proximity to a backside interconnect structure. The presence of the airgap containing SDB can minimize capacitance as well as leakage of the structure.
In one aspect of the present application, a semiconductor structure having minimized capacitance is provided. In one embodiment of the present application, the semiconductor structure includes a pair of neighboring transistors, each transistor of the pair of neighboring transistors includes source/drain regions located on opposite sides of a gate structure. A first backside interlayer dielectric (ILD) layer is located beneath each of the transistors and the source/drain regions. A SDB containing an airgap is located between the source/drain regions of the pair of neighboring transistors and extends through the first backside ILD layer. The airgap has an upper region having a first width adjacent to the source/drain regions and a lower region having a second width that is less than the first width adjacent to the first backside ILD layer.
In another embodiment, the semiconductor structure includes a pair of neighboring nanosheet transistors, each nanosheet transistor of the pair of neighboring nanosheet transistors comprises source/drain regions located on opposite sides of a gate structure. A bottom dielectric isolation layer is located beneath each nanosheet transistor and the source/drain regions and a first backside interlayer dielectric (ILD) layer located beneath the bottom dielectric isolation layer. A single diffusion break (SDB) containing an airgap is located between the source/drain regions of the pair of neighboring transistors and extending through bottom dielectric isolation layer and the first backside ILD layer. The airgap has an upper region having a first width adjacent to the source/drain regions and a lower region having a second width that is less than the first width adjacent to the first backside ILD layer.
In another aspect of the present application, a method of forming a semiconductor structure is provided. In one embodiment, the method includes forming a single diffusion break region between source/drain regions of a neighboring pair of sacrificial gate structures, the single diffusion break region extending into a semiconductor substrate. A sacrificial semiconductor placeholder structure and a frontside interlayer dielectric (ILD) layer are then formed in the single diffusion break region. Next, each sacrificial gate structure is replaced with a functional gate structure. The semiconductor substrate is then removed, and thereafter a first backside ILD layer is formed embedding the sacrificial semiconductor placeholder structure. Next, the sacrificial semiconductor placeholder structure is removed and thereafter, an airgap containing SDB is formed in a lower portion of the single diffusion break region.
The present application will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. It is noted that the drawings of the present application are provided for illustrative purposes only and, as such, the drawings are not drawn to scale. It is also noted that like and corresponding elements are referred to by like reference numerals.
In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide an understanding of the various embodiments of the present application. However, it will be appreciated by one of ordinary skill in the art that the various embodiments of the present application may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the present application.
It will be understood that when an element as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “beneath” or “under” another element, it can be directly beneath or under the other element, or intervening elements may be present. In contrast, when an element is referred to as being “directly beneath” or “directly under” another element, there are no intervening elements present.
The terms substantially, substantially similar, about, or any other term denoting functionally equivalent similarities refer to instances in which the difference in length, height, or orientation convey no practical difference between the definite recitation (e.g. the phrase sans the substantially similar term), and the substantially similar variations. In one embodiment, substantial (and its derivatives) denote a difference by a generally accepted engineering or manufacturing tolerance for similar devices, up to, for example, 10% deviation in value or 10° deviation in angle.
As mentioned above, SDB can be used to electrically isolate one transistor from another transistor. Conventional SDB is composed of a solid dielectric pillar that extends from the surface of the substrate. SDB can provide reduced distance between the active device areas and can enable area-scaling. Despite the above advantages, SDB can cause capacitance issues between neighboring source/drain regions. A need thus exists to provide SDB in which the capacitance between neighboring source/drain regions can be reduced.
The above capacitance problem can be mitigated by providing a semiconductor structure including an airgap containing SDB that is located between neighboring source/drain regions. The airgap has an upper region that has a first width and a lower region that has a second width that is less than the first width. The airgap is pinched off on the backside of the structure in a region that is in proximity to a backside interconnect structure. In the present application, the airgap containing SDB is at the level of the source/drain regions and can extend into a backside interlayer dielectric layer. In embodiments, the airgap containing SDB can minimize leakage as well as capacitance.
In addition to describing a semiconductor structure, the present application also describes a method of forming the same. The method, which is illustrated, for example, in
In the present application, a semiconductor structure is described and illustrated as containing nanosheet transistors. A transistor (or field effect transistor (FET)) includes a source region, a drain region, a semiconductor channel region located between the source region and the drain region, and a gate structure located above the semiconductor channel region. Collectively, the source region and the drain region can be referred to as a source/drain region. A nanosheet transistor is a non-planar transistor that includes a vertical stack of spaced apart semiconductor channel material nanosheets as the semiconductor channel region with a pair of source/drain regions located at each of the ends of the vertical stack of spaced apart semiconductor channel material nanosheets. The gate structure includes a gate dielectric and a gate electrode. The gate structure wraps each of the spaced apart semiconductor channel material nanosheets.
Although the present application describes and illustrates a semiconductor structure including nanosheet transistors, the present application works with other types of non-planar transistors which can include, stacked nanosheet transistors, finFETs, nanowire transistors or any combination of such transistors including the nanosheet transistors described and illustrated herein.
Referring first to
Referring now to
The semiconductor substrate 10 is composed of at least one semiconductor material having semiconducting properties. In the present application, the at least one semiconductor material can be referred to as a first semiconductor material. Examples of first semiconductor materials that can be used in the present application include, but are not limited to, silicon (Si), a silicon germanium (SiGe) alloy, a silicon germanium carbide (SiGeC) alloy, germanium (Ge), III/V compound semiconductors or II/VI compound semiconductors. In some embodiments (not shown), the semiconductor substrate 10 can be composed of a first semiconductor layer, an etch stop layer, and a second semiconductor layer. The first semiconductor layer and the second semiconductor layer include one of the above mentioned first semiconductor materials. In embodiments, the semiconductor material that provides the first semiconductor layer can be compositionally the same as, or compositionally different from, the semiconductor material that provides the second semiconductor layer. In some embodiments, the etch stop layer can be composed of a dielectric material such as, for example, silicon dioxide and/or boron nitride. In other embodiments, the etch stop layer is composed of a semiconductor material that is compositionally different from the semiconductor material that provides the first semiconductor layer and the semiconductor material that provides the second semiconductor layer. In one example, the first semiconductor layer is composed of silicon, the etch stop layer is composed of silicon dioxide, and the second semiconductor layer is composed of silicon. In another example, the first semiconductor layer is composed of silicon, the etch stop layer is composed of silicon germanium, and the second semiconductor layer is composed of silicon.
In some embodiments (not shown) a shallow trench isolation structure can be present in the semiconductor substrate 10. When present, the shallow trench isolation structure is composed of any trench dielectric material such as, for example, silicon oxide. In some embodiments, a trench dielectric liner composed of, for example, SiN, can be present along a sidewall and a bottom wall of the trench dielectric material. The shallow trench isolation structure is located in an upper portion of the semiconductor substrate 10 and it typically has a topmost surface that is substantially coplanar with a topmost surface of the semiconductor substrate 10.
The nanosheet stack of alternating semiconductor channel material nanosheets 16 and sacrificial semiconductor material nanosheets 15 can include any number of sacrificial semiconductor material nanosheets 15 and any number of semiconductor channel material nanosheets 16 and is not limited to the number of sacrificial semiconductor material nanosheets 15 and semiconductor channel material nanosheets 16 illustrated in the drawings. Each sacrificial semiconductor material nanosheets 15 is composed of a second semiconductor material and each semiconductor channel material nanosheets 16 is composed of a third semiconductor material. In the present application, the second semiconductor material is compositionally different from the third semiconductor material. The second and third semiconductor materials include one of the first semiconductor materials mentioned above. In one example, the second semiconductor material that provides each sacrificial semiconductor material nanosheet 15 is composed of a silicon germanium alloy having a germanium content from 20 atomic percent to 40 atomic percent, and the third semiconductor material that provides each semiconductor channel material nanosheet 16 is composed of silicon.
The gate spacer 22, the inner spacer 17 and the bottom dielectric isolation layer 14 are all composed of a spacer dielectric material. Typically, the gate spacer 22 and the bottom dielectric isolation layer 14 are composed of a compositionally same spacer dielectric material since they are oftentimes formed at the same time. Illustrative examples of spacer dielectric materials that can be employed in the present application include, but are not limited to, silicon dioxide, SiN, SiBCN, SiOCN or SiOC.
The sacrificial gate structure 18 includes at least a sacrificial gate material. In some embodiments, the sacrificial gate structure 18 can also include a sacrificial gate dielectric material. In such embodiments, the sacrificial gate dielectric material would be located beneath the sacrificial gate material. The optional sacrificial gate dielectric material can be composed of a dielectric material such as, for example, silicon dioxide. The sacrificial gate material can be composed of, for example, polysilicon, amorphous silicon, amorphous silicon germanium or amorphous germanium. The hard mask cap 20 that can be present on the sacrificial gate structure 18 is composed of a hard mask material such as, for example, silicon nitride or silicon oxynitride.
The source/drain regions 24 are composed of a fourth semiconductor material and a dopant. As used herein, a “source/drain” region can be a source region or a drain region depending on subsequent wiring and application of voltages during operation of the transistor. The fourth semiconductor material includes one of the semiconductor materials mentioned above for the semiconductor substrate 10 and the fourth semiconductor material can be compositionally the same as, or compositionally different from the third semiconductor material that provides each semiconductor channel material nanosheet 16. The dopant can be an n-type dopant or a p-type dopant. The term “p-type” refers to the addition of impurities to an intrinsic semiconductor that creates deficiencies of valence electrons. In a silicon-containing semiconductor material, examples of p-type dopants, i.e., impurities, include, but are not limited to, boron, aluminum, gallium, phosphorus and indium. “N-type” refers to the addition of impurities that contributes free electrons to an intrinsic semiconductor. In a silicon containing semiconductor material, examples of n-type dopants, i.e., impurities, include, but are not limited to, antimony, arsenic and phosphorous. In one example, each source/drain region can have a dopant concentration of from 4×1020 atoms/cm3 to 3×1021 atoms/cm3.
The first frontside ILD layer 26 is composed of a composed of a dielectric material including, for example, silicon oxide, silicon nitride, undoped silicate glass (USG), fluorosilicate glass (FSG), borophosphosilicate glass (BPSG), a spin-on low-k dielectric layer, a chemical vapor deposition (CVD) low-k dielectric layer, or any combination thereof. The term “low-k” as used throughout the present application denotes a dielectric material that has a dielectric constant of less than 4.0 (all dielectric constants mentioned herein are relative to a vacuum unless otherwise noted).
The precursor nanosheet transistors illustrated in
The single diffusion break region 30 is provided by lithography and etching. Lithography includes forming at least a photoresist material on a layer or stack of material layers that need to be patterned, exposing the photoresist material to a desired pattern of irradiation and thereafter developing the exposed photoresist material utilizing a conventional resist developer. In embodiments, the photoresist can be an upper component of a lithographic stack that can also include other masking layers such as antireflective coatings. The developed photoresist material has a desired pattern that is then transferred to the layer or stack of material layers that need to be patterned by etching. Etching can include dry etching and/or chemical wet etching. In one embodiment, a dry etch such as, for example, reactive ion etching (RIE), ion beam etching (IBE), plasma etching or any combination thereof can be used to transfer the pattern to the layer or stack of material layers that need to be patterned. In the present application, a lithographic stack including a photoresist is formed and the exposing, developing and etching is performed utilizing the lithographic stack. The lithographic stack can be formed utilizing a deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), evaporation, spin-on coating or any combination thereof. In
Referring now to
The sacrificial semiconductor placeholder structure 32 grows up from a semiconductor sub-surface of the semiconductor substrate 10 and outward from physically exposed sidewalls of each of the semiconductor channel material nanosheets 16 that remain along the sidewall of source/drain regions 24.
The frontside ILD layer 34, which represents a second frontside ILD layer in the structure, is composed of one of the dielectric materials mentioned above for the first frontside ILD layer 26. The dielectric material that provides the frontside ILD layer 34 can be compositionally the same as, or compositionally different from, the dielectric material that provides the first frontside ILD layer 26. The frontside ILD layer 34 can be formed by a deposition process such as, for example, CVD, PECVD or spin-on coating. A planarization process can follow the deposition process that provides the frontside ILD layer 34. At this point of the method of the present application, the frontside ILD layer 34 is separated from the first frontside ILD layer 26 by one of the gate spacers 22 that is present directly above the NSS.
Referring now to
Nanosheet processing includes revealing the nanosheet stacks of each precursor nanosheet transistors by removing the hard mask cap 20 and the sacrificial gate structure 18 that are present on the nanosheet stacks. Each hard mask cap 20 can be removed utilizing a material removal process such as, for example, etching, which is selective in removing the hard mask material that provides each hard mask cap 20. This material removal step reveals the underlying sacrificial gate structure 18. Each sacrificial gate structures 18 can be removed from the structure utilizing a material removal process such as, for example, etching, which is selective in removing the sacrificial gate structures 18. During the removal of the hard mask caps 20 and the sacrificial gate structures 18 an upper portion of each gate spacer 22 can be removed. These material removal steps reveal the underlying nanosheet stacks. After revealing the nanosheet stacks, each sacrificial semiconductor material nanosheet 15 is removed. The removal of the sacrificial semiconductor material nanosheet 15 suspends a portion of each semiconductor channel material nanosheet 16. Each sacrificial semiconductor material nanosheet 15 is removed utilizing any material removal process such as, for example, etching, which is selective in removing the sacrificial semiconductor material nanosheets 15.
The gate structure 36 is formed in the area previously accompanied by the sacrificial semiconductor material nanosheets 15 each of the nanosheet stacks. The gate structure 36 wraps around each of the semiconductor material nanosheets 16 within the nanosheet stacks. The gate structure 36 includes a gate dielectric layer and a gate electrode; both the gate dielectric layer and the gate electrode are not separately shown in the drawing, but both are included in the area shown as the gate structure 36. As is known, the gate dielectric layer is formed directly around the suspended portion of each semiconductor channel material nanosheet 16 and the gate electrode is formed on the gate dielectric layer. The gate dielectric layer of the gate structure is composed of a gate dielectric material that has a dielectric constant of greater than 4.0. Illustrative examples of gate dielectric materials that can be used in providing the gate dielectric layer include, but are not limited to, hafnium dioxide (HfO2), hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiO), lanthanum oxide (La2O3), lanthanum aluminum oxide (LaAlO3), zirconium dioxide (ZrO2), zirconium silicon oxide (ZrSiO4), zirconium silicon oxynitride (ZrSiOxNy), tantalum oxide (TaOx), titanium oxide (TiO), barium strontium titanium oxide (BaO6SrTi2), barium titanium oxide (BaTiO3), strontium titanium oxide (SrTiO3), yttrium oxide (Yb2O3), aluminum oxide (Al2O3), lead scandium tantalum oxide (Pb(Sc,Ta)O3), and/or lead zinc niobite (Pb(Zn,Nb)O). The gate dielectric material can further include dopants such as lanthanum (La), aluminum (Al) and/or magnesium (Mg).
The gate electrode of the gate structure 36 is composed of a gate electrode material. The gate electrode material can include a work function metal (WFM) and optionally a conductive metal. The WFM can be used to set a threshold voltage of the transistor to a desired value. In some embodiments, the WFM can be selected to effectuate an n-type threshold voltage shift. “N-type threshold voltage shift” as used herein means a shift in the effective work-function of the work-function metal-containing material towards a conduction band of silicon in a silicon-containing material. In one embodiment, the work function of the n-type work function metal ranges from 4.1 eV to 4.3 eV. Examples of such materials that can effectuate an n-type threshold voltage shift include, but are not limited to, titanium aluminum, titanium aluminum carbide, tantalum nitride, titanium nitride, hafnium nitride, hafnium silicon, or combinations and thereof. In other embodiments, the WFM can be selected to effectuate a p-type threshold voltage shift. In one embodiment, the work function of the p-type work function metal ranges from 4.9 eV to 5.2 eV. As used herein, “threshold voltage” is the lowest attainable gate voltage that will turn on a semiconductor device, e.g., transistor, by making the channel of the device conductive. The term “p-type threshold voltage shift” as used herein means a shift in the effective work-function of the work-function metal-containing material towards a valence band of silicon in the silicon containing material. Examples of such materials that can effectuate a p-type threshold voltage shift include, but are not limited to, titanium nitride, and tantalum carbide, hafnium carbide, and combinations thereof. The optional conductive metal can include, but is not limited to aluminum (Al), tungsten (W), or cobalt (Co). The gate structure 36 can be formed by deposition of the gate dielectric material and the gate electrode material, followed by a planarization process.
The frontside gate contact structures 38 can be formed by a metallization process. Each frontside gate contact structure contacts the gate electrode of the gate structure 36. Prior to the metallization process, additional ILD material is formed (via a deposition process) on the surface of the structure including the first frontside ILD layer 26 and frontside ILD layer 34. The additional ILD material is typically compositionally the same as both the first frontside ILD layer 26 and frontside ILD layer 34. Collectively, the additional ILD material, the first frontside ILD layer 26 and frontside ILD layer 34 provide a middle-of-the-line (MOL) dielectric layer 35. The metallization process includes forming contact openings in the MOL dielectric layer 35 and then filling (including deposition and planarization) those contact openings with at least a contact conductor material. The contact conductor material that can be used for providing the frontside contact structures includes, for example, a silicide liner, such as Ni, Pt, NiPt, an adhesion metal liner, such as TiN, and conductive metals such as W, Cu, Al, Co, Ru, Mo, Os, Ir, Rh, or an alloy thereof. The frontside gate contact structures 38 can also include one or more contact liners (not shown). In one or more embodiments, the contact liner (not shown) can include a diffusion barrier material. Exemplary diffusion barrier materials include, but are not limited to, Ti, Ta, Ni, Co, Pt, W, Ru, TiN, TaN, WN, WC, an alloy thereof, or a stack thereof such as Ti/TiN and Ti/WC. In one or more embodiments in which a contact liner is present, the contact liner (not shown) can include a silicide liner, such as Ti, Ni, NiPt, etc., and a diffusion barrier material, as defined above. Although not shown, frontside source/drain contact structure can be formed into the MOL dielectric layer 25 and in contact with one of the source/drain regions 24.
The frontside BEOL structure 40 can include one or more interconnect dielectric material layers (including one of the dielectric materials mentioned above for the first frontside ILD layer 26) that contain frontside metal wires (the metal wires can be composed of any electrically conductive metal or electrically conductive metal alloy) embedded therein. Electrical contact of the frontside BEOL structure 40 to each frontside gate contact structure 38 is made.
The carrier wafer 42 can include one of the semiconductor materials mentioned above for the semiconductor substrate 10. Carrier wafer 42 is bonded to the frontside BEOL structure 40 after frontside BEOL structure 40 formation. This concludes the frontside processing of the semiconductor device of the present application.
Referring now to
The first backside ILD layer 44 is then formed. The first backside ILD layer 44 is composed of one of the dielectric materials mentioned above for the first frontside ILD layer 26. The first backside ILD layer 44 can be formed by a deposition process such as, for example, CVD, PECVD or spin-on coating. A planarization process can follow the deposition process that provides the first backside ILD layer 44. As is shown in
Referring now to
The airgap containing SDB is formed into this physically exposed lower portion of the previously formed single diffusion break region 30. The airgap containing SDB includes an airgap 48 that is surrounded by a SDB dielectric layer 46. The SDB dielectric layer 46 is a non-conformal dielectric layer that is present along the sidewalls of the nanosheet stack structure NSS that remains, the bottom dielectric isolation 14 and the first backside ILD layer 44. The SDB dielectric layer 46 is also present along a physically exposed horizontal surface of the first backside ILD layer 44. The SDB dielectric layer 46 is composed of a SDB dielectric material such as, for example, SiC, SiOC, or SiOCN. The SDB dielectric layer 46 is formed by a non-conformal deposition such that a pinch off of the deposition dielectric material occurs at the bottom of the physically exposed lower portion of the previously formed single diffusion break region 30 providing the airgap 48. That is, the pinch-off of the SDB dielectric layer 46 occurs adjacent to a lower portion of the first backside ILD layer 44 as shown in
As is illustrated in
Referring now to
The backside interconnect structure 52 is then formed in contact with the second backside ILD layer 50. The backside interconnect structure 52 can be used in the present application as backside power distribution network (BSPDN). The backside interconnect structure 52 includes ILD layers having backside metal wires (the metal wires can be composed of any electrically conductive metal or electrically conductive metal alloy) embedded.
Notably, and as illustrated in
In embodiments of the present application and as is illustrated in
In embodiments of the present application and as is illustrated in
In embodiments of the present application and as is illustrated in
In embodiments of the present application and as is illustrated in
In embodiments of the present application and as is illustrated in
In embodiments of the present application and as is illustrated in
In embodiments of the present application and as is illustrated in
In embodiments of the present application and as is illustrated in
In embodiments of the present application and as is illustrated in
In embodiments of the present application and as is illustrated in
In embodiments of the present application and as is illustrated in
In embodiments of the present application and as is illustrated in
While the present application has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present application. It is therefore intended that the present application not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.