Apparatus for forming porous silicon layers on at least two surfaces of a plurality of silicon templates

Information

  • Patent Grant
  • 9401276
  • Patent Number
    9,401,276
  • Date Filed
    Friday, July 20, 2012
    11 years ago
  • Date Issued
    Tuesday, July 26, 2016
    7 years ago
Abstract
An apparatus for forming porous silicon layers on at least two surfaces of a plurality of silicon templates in a batch electrochemical anodic etch process is provided. The apparatus comprises a plurality of edge-sealing template mounts operable to prevent formation of porous silicon at the edges of a plurality of templates. An electrolyte is disposed among the plurality of templates. The apparatus further comprises a power supply operable to switch polarity, change current intensity, and control etching time to produce the porous silicon layers.
Description
FIELD

This disclosure relates in general to the field of photovoltaics and microelectronics, and more particularly to fabrication processes and manufacturing equipment for forming porous silicon layers on at least two surfaces of a plurality of silicon templates. This disclosure provides for such manufacturing at low costs and high throughputs.


BACKGROUND

Currently, crystalline silicon has the largest market share in the photovoltaics (PV) industry, accounting for over 80% of the overall PV market share. Although going to thinner crystalline silicon solar cells is long understood to be one of the most potent ways to reduce PV cost (because of the relatively high material cost of crystalline silicon wafers used in solar cells as a fraction of the total PV module cost), it is fraught with the problem of mechanical breakage due to the thin and large substrate sizes, and also to some extent that of light trapping in a thin structure (since silicon is an indirect bandgap semiconductor material). The requirement of high mechanical yield and reduced wafer breakage rate is further problematic with the realization that for cost-effectiveness, the yields in PV manufacturing factories must be very high. On a standalone crystalline silicon solar cell (without support), going even somewhat below the current thickness range of 140-250 microns starts to severely compromise mechanical yield during manufacturing. Thus, any solution to process very thin solar cell structures must either be fully supported by a host carrier throughout the cell process or use a novel self-supporting, standalone, substrate with an accompanying structural innovation.


Innovative manufacturing processes to produce solar cells at low costs using thin-film semiconductor substrates (TFSSs) as the active material have been disclosed in related applications. In some embodiments, the TFSSs comprise crystalline semiconductor (more specifically silicon in some embodiments). This technology platform produces solar cells from re-usable semiconductor templates. In certain embodiment, the surfaces of the templates have a periodic array of pre-structured 3-dimensional (3-D) structures. Examples of the 3-D TFSS based solar cells include but are not limited to prism honey-comb and inverted pyramidal cavities, which are described in earlier applications having common inventorship and/or ownership. The following are examples of related applications.


U.S. Patent Publications US2008/0157283 A1, US2008/0289684 A1, US2010/0148318 A1, US2010/0300518; U.S. patent application Ser. No. 13/057,104; PCT Application Serial Nos. PCT/US10/60591, PCT/US10/62614.


As disclosed by the above documents, the epitaxial substrate is grown on top of a reusable template and is subsequently dislodged. In one embodiment, the template and substrate each comprise monocrystalline silicon. Afterwards, the reusable template may be reused several times, with or without reconditioning, to grow more epitaxial substrates. The reusable template has a planar top surface or a top surface with pre-formed 3-D microstructures, such as hexagonal honey-comb cavities or inverted pyramidal cavities. The releasing of the 3-D TFSS is achieved with an interim sacrificial layer. The sacrificial layer should satisfy two important criteria. First, it needs to transfer the information on crystallinity from the reusable template to the epitaxial layer. Second, it should be able to be removed selectively compared to the substrate and the reusable template. One specific embodiment of the sacrificial layer is porous silicon, whose porosity can be modulated to achieve both the aforementioned critical functions.


As disclosed by the above documents, the planar or 3-D TFSSs are made and released from one-side, i.e., the top surface of a reusable template. In other words, one solar substrate is made from a reusable template in each of its reuse cycles. In those disclosures, the template and substrate making equipment, and the porous silicon forming and epitaxial silicon growing equipment is capable of processing on only one side of the silicon template. The equipment is capable of processing multiple wafers at a time in a batching processing mode, but only one side of each template is used.


Solar cell manufacturing requires much higher productivity with much lower costs compared with semiconductor processes. Therefore, there it may be advantageous to develop manufacturing processes and equipment capable of making thin semiconductor substrates from both sides of a reusable template simultaneously.


SUMMARY

In order to reduce the thin-film crystalline semiconductor (such as silicon) substrate manufacturing costs and increase the production throughputs, this disclosure provides manufacturing methods and apparatus designs for forming porous silicon layers on at least two surfaces of a plurality of silicon templates, thus effectively increasing the substrate manufacturing throughput and reducing the substrate manufacturing cost. This approach also reduces the amortized starting template cost per manufactured substrate (TFSS) by about a factor of two for a given number of template reuse cycles.


In accordance with the present disclosure, an apparatus for forming porous silicon layers on at least two surfaces of a plurality of silicon templates in a batch electrochemical anodic etch process is provided. The apparatus comprises a plurality of edge-sealing template mounts operable to prevent formation of porous silicon at the edges of a plurality of templates. An electrolyte is disposed among the plurality of templates. The apparatus further comprises a power supply operable to switch polarity, change current intensity, and control etching time to produce the porous silicon layers.


In yet another embodiment, the apparatus for forming porous silicon layers on at least two surfaces of a plurality of silicon templates in a batch electrochemical anodic etch process further comprises an electrolyte temperature controller.


In yet another embodiment, the apparatus for forming porous silicon layers on at least two surfaces of a plurality of silicon templates in a batch electrochemical anodic etch process further comprises an external liquid circulation and gas bubble extractor.


In yet another embodiment, an apparatus for forming porous silicon layers on at least two surfaces of a plurality of silicon templates in a batch electrochemical anodic etch process is provided. The apparatus comprises a plurality of edge- sealing template mounts operable to prevent formation of porous silicon at the edges of a plurality of templates. An electrolyte is disposed among the plurality of templates. The apparatus further comprises an electrolyte temperature controller. The apparatus further comprises an external liquid circulation and gas bubble extractor. The apparatus further comprises a power supply operable to switch polarity, change current intensity, and control etching time to produce the porous silicon layers.


In yet another embodiment, the template may be reconditioned and cleaned as needed followed by a reuse cycle. Each template in this disclosure may be used multiple cycles until it becomes too thin to handle or until it loses its structural properties. In those cases, the used templates may be recycled.





BRIEF DESCRIPTION OF THE DRAWINGS

The features, nature, and advantages of the disclosed subject matter will become more apparent from the detailed description set forth below when taken in conjunction with the drawings, in which like reference numerals indicate like features and wherein:



FIGS. 1A and 1B illustrate cross-sectional schematic drawings of a double-sided planar template before and after its TFSS releasing, respectively;



FIG. 2A illustrates a front view of a double-sided reusable template with hexagonal honeycomb interconnected trenches;



FIG. 2B illustrates a cross-sectional schematic drawing of a double-sided template with prism honey-comb 3-D structures and TFSSs released from both sides of the template;



FIG. 3A illustrates a front-view schematic drawing of a double-sided reusable template with inverted pyramidal cavities;



FIG. 3B illustrates a schematic drawings of a double-sided template with inverted pyramid 3-D structures and TFSSs released from both sides of the template;



FIG. 4 illustrates a block diagram of making planar TFSSs using the double-sided re-usable template;



FIGS. 5A-5E illustrate cross-sectional schematic drawings of the planar TFSS making process, after major process steps, using the double-sided re-usable template;



FIG. 6 illustrates major fabrication process steps for making double-sided re-usable template that have hexagonal honeycomb trenches;



FIG. 7 illustrates major fabrication process steps for making double-sided re-usable templates that have inverted pyramidal cavities;



FIG. 8 illustrates a conceptual cross-sectional drawing of an apparatus for forming porous silicon layers on both sides of a silicon template in a batch process; and



FIG. 9 illustrates a conceptual cross-sectional drawing of an apparatus for growing epitaxial silicon layers on both sides of a silicon template in a batch process.





DETAILED DESCRIPTION

Although the present disclosure is described with reference to specific embodiments, one skilled in the art could apply the principles discussed herein to other areas and/or embodiments without undue experimentation.



FIG. 1A and FIG. 1B illustrate cross-sectional schematic drawings of double-sided planar template 10 before and after TFSSs 12 are released from both sides. As illustrated in FIG. 1A, epitaxially grown silicon layers are formed, in some embodiments concurrently, by an epitaxial silicon growth process, on both sides of a planar template and on top of porous silicon layers 14 on the template frontside and backside surfaces. The template may be made of a monocrystalline silicon wafer with polished surfaces surface (although wafers with non-polished surfaces and/or polycrystalline or multicrystalline silicon wafers may also be used as templates). The wafer diameter may be in the range of approximately 150 to 450 mm and the wafer thickness may be in the range of approximately 0.5 to 1 mm. Even thicker wafers may be used, up to several millimeters in thickness. The template may be in a circular shape, a square/rectangular shape, or a pseudo-square/pseudo-rectangular shape with rounded corners. The porous silicon layer may consist of a single layer, a bilayer or multilayer having different porosities, or a graded porosity layer. For example, the porous silicon sub-layer that contacts the template may have a higher porosity than the sub-layer that contacts the epitaxial layer. The overall epitaxial layer thickness may be in the range of approximately 1 to 100 microns and it may consist of layers of different types and levels of doping. For example for solar cell applications, thin layer 16 that makes contact to the buried porous silicon layer may be n+ (phosphorous) doped to form the front-surface-field (FSF) of the solar cell; middle layer 18 may be n (phosphorous) doped to form the base region of the solar cell; and outer epitaxial layer 20 may be p+ (boron) doped to form the emitter region of the solar cell (or alternatively with opposite doping polarities for solar cells with p-type base).


The epitaxial layer on the wafer edge may be removed (as shown in FIG. 1B) to expose the buried porous silicon layer so that the epitaxial layers may be released from the template. Alternatively, the epitaxial layers may be prevented from growing at the template edges by mechanical shadowing and/or a hydrogen gas flow depletion purge. In another alternative method, the TFSS edges are defined by laser trench cutting with the cutting depth no larger than approximately the epitaxial layer thickness. In this method, the remaining epitaxial silicon layers at template edges may be removed after the TFSS is released by template edge lapping, grinding or polishing. As illustrated in FIG. 1B, the epitaxial layers are released from the template to form TFSSs with optional reinforcement/support plates 22. The thin silicon reinforcement or support plates may be temporarily or permanently mounted on the TFSSs to enhance their handle-ability during subsequence solar cell processing steps. As disclosed in related applications, the reinforcement plates may be made from solar grade glass, polymers, or other materials. There also may be solar grade adhesive layers used for making permanent bond between the reinforcement plate and TFSS. In the case that the epitaxial layer is thicker than about 50 microns and the TFSS size is smaller than about 150 mm in diameter, the reinforcement plates may not be needed. After the TFSS releasing, the template may be cleaned, reconditioned (in this sequence or in the reverse sequence of reconditioning followed by cleaning) and then reused. The TFSSs then go through the subsequent solar cell making process, including but not limited to surface cleaning, doping, passivation and contact making steps as disclosed in related applications.



FIGS. 2A and 2B illustrate a double-sided template with hexagonal honeycomb 3-D structures and TFSSs made from both sides of the reusable template. FIG. 2A illustrates a partial front-view schematic drawing of the template. The template may be made of a monocrystalline silicon wafer, although polycrystalline or multicrystalline wafers may also be used as templates. The template has pre-structured front and back side surfaces. More specifically, sidewall tapered hexagonal honeycomb trenches 30 are made in the silicon template on both of its sides. FIG. 2B illustrates a cross-sectional schematic drawing (along the A-A cut direction) of the template after the release of TFSSs 34 (the drawing dimensions are not shown to scale). As shown, trench sidewalls 32 are tapered and the taper angle may be from several degrees to tens of degrees (in some embodiments approximately 3 to 10 degrees). The trench height may be approximately 50 to 300 microns, or more specifically in some embodiments 75 to 150 microns. The top trench width may be approximately 10 to 100 microns, or more specifically in some embodiments 20 to 50 microns. The backside structures may be misaligned to the front side structures to ensure increased template mechanical strength. The template wafer diameter is in the range of approximately 150 to 450 mm, and the wafer thickness is approximately in the range of 0.5 to over 1 mm (even thicker wafers may be used, up to several mm in thickness). Porous silicon layers (not shown) are initially formed on both sides of the template surfaces, as discussed in the planar TFSS case. Then epitaxially grown silicon layers are formed on both sides of the template on top of the porous silicon layers. The epitaxial layer thickness is in the range of about 1 to 100 microns and it may consist of various doping types and levels across its depth, as explained in the planar TFSS case. For simplicity of schematic drawing purpose, the doping types and levels are not shown in FIG. 2B. In order to release the epitaxial layers from the template, the epitaxial layer on the wafer edge is removed to expose the buried porous silicon layer.


Alternatively, the epitaxial growth is prevented from growing at template edges by mechanical shadowing. In another alternative method, the TFSS edges are defined by laser trench cutting with the cutting depth is no larger than approximately the epitaxial layer thickness. In this method, the remaining epitaxial silicon layers at template edges may be removed after TFSS release by template edge lapping, grinding or polishing. As illustrated in FIG. 2B, the epitaxial layers are released from the template to form free-standing TFSSs without the needs of reinforcement plates. After TFSS release, the template may be cleaned, reconditioned and then reused. The TFSSs then go through the subsequent solar cell making process, including but not limited to surface cleaning, doping, passivation and contact making steps as disclosed in related applications.



FIGS. 3A and 3B illustrate a double-sided template with inverted pyramid 3-D structures and TFSSs made from both sides of the reusable template. FIG. 3A illustrates a partial front-view schematic drawing of the template. The template may be made of a monocrystalline silicon wafer, although polycrystalline or multicrystalline wafers may also be used as templates. The template has pre-structured front and back side surfaces. More specifically, inverted pyramidal cavities 40 are made into the silicon template on both of its sides. To facilitate formation of the pyramidal pattern, the template may be a (100) monocrystalline silicon wafer. The inverted pyramidal cavities are aligned to the (100) directions and have their sidewalls etched to (111) crystallographic planes of mono-crystalline silicon. The sidewalls have 54.7° angles against the top lateral plane. The cavity top openings are in the range of approximately 50 to 500 microns and depths are in the range of approximately 50 to 250 microns. FIG. 3B illustrates a cross-sectional schematic drawing (along the A-A cut direction) of the template after the release of TFSSs 42 (the drawing dimensions are not shown to scale). The back side structures may be misaligned to the front side structures to ensure increased template mechanical strength. The template wafer diameter may be in the range of approximately 150 to 450 mm, and the wafer thickness may be approximately in the range of 0.5 to 1 mm (or even a few mm). As described above, a porous silicon layer or layers may be formed on both sides of the template. Then epitaxially grown silicon layers are formed on both sides of the template on top of the porous silicon layers. The epitaxial layer thickness is in the range of about 1 to 100 microns and it may consist of various doping types and levels across its depth, as explained in the planar TFSS case. For simplicity of schematic drawing purpose, the doping types and levels are not shown in FIG. 3B. In order to release the epitaxial layers from the template, the epitaxial layer on the wafer edge is removed to expose the buried porous silicon layer.


Alternatively, the epitaxial layer is prevented from growing at template edges by mechanical shadowing. In another alternative method, the TFSS edges are defined by laser trench cutting with the cutting depth no larger than approximately the epitaxial layer thickness. In this method, the remaining epitaxial silicon layers at template edges may be removed after the TFSS releasing by template edge lapping, grinding or polishing.


As illustrated in FIG. 3B, the epitaxial layers are released from the template to form free-standing TFSSs without the need for reinforcement plates. After the TFSS releasing, the template may be cleaned, reconditioned and then reused. The TFSSs then go through the subsequent solar cell making process, including but not limited to surface cleaning, doping, passivation and contact making steps as disclosed in related applications.



FIG. 4 outlines the major process steps in one embodiment of a process flow for making planar TFSSs using the double-sided re-usable template approach of this disclosure. The fabrication process starts at step 50 from a substantially planar template, which may be a monocrystalline (100) silicon wafer. The starting wafer could be in circular or square or rectangular or polygonal shapes. Both sides of the template surface may be the same in term of their surface finishes, or they may be different. In one embodiment, the front and back surfaces are non-textured and polished. In another embodiment, shallow (e.g. less than about 10 microns) and random textures are formed on both sides of the template surfaces. The (100) silicon wafer surface texturing processes are known in the prior arts and are usually conducted by diluted alkaline chemistry, such as KOH or NaOH silicon etching.


At step 52, a porous silicon layer is formed by electrochemical anodic HF etching of silicon on both the front and back sides of the template surfaces. During the porous silicon forming in an HF/IPA (or HF/acetic acid) solution, the electrical current polarity is periodically switched between positive and negative currents so that each template side is successively etched in order to form the bilayer or multi-layer porous silicon structure on both template sides. In addition to the periodical current polarity switching, the current intensity is also changed in a controlled manner to form a porous silicon bilayer or multilayer that consists of the desired different (low and high) porosities. The first thin porous silicon layer is on the top and is first formed from the bulk silicon wafer. The first thin layer has a lower porosity of approximately 15% to 30%. The second thin porous silicon layer is directly grown from the bulk silicon and is underneath the first thin layer of porous silicon. The second thin porous silicon layer may have a higher porosity in the range of approximately 40%-80%. The top lower porosity layer is used as a crystalline seed layer for high quality epitaxial silicon growth, and the underneath higher porosity porous silicon layer is used for facilitating TFSS releasing due to its low-density physical connections (between the epitaxial and bulk silicon interfaces) and its weak mechanical strength.


Alternatively, a single porosity release layer with a progressively increased porosity from top to bottom can also be used. In this case, the top portion of the porous silicon layer has a low porosity of approximately 15% to 30%, and the lower portion of the porous silicon layer has a high porosity of approximately 40% to 80%, with a region of graded porosity in between.


At step 54, and before the epitaxial silicon growth, the wafer is baked in a high temperature (at approximately 950° C. to 1200° C., and more specifically in some embodiments in the range of 1050° C. to 1150° C.) hydrogen environment within the epitaxial silicon deposition reactor in order to form coalesced structures (with relatively large voids) within the higher-porosity buried porous silicon layer while forming a continuous surface seed layer of crystalline silicon on the top of the lower-porosity porous silicon layer.


Next, at step 56, a mono-crystalline epitaxial silicon layer is concurrently deposited on both sides of the template, preferably in a high-throughput large-batch epitaxial furnace. The epitaxial layer may be in-situ doped. For example for solar cell applications, the bulk base of the epitaxial layer may be n (phosphorous) doped, the inner layer may be n+ (phosphorous) doped to form the FSF, and the outer layer may be p (boron) doped to form the emitter region of the solar cell. The thickness of the epitaxial layer is in the range of 1 to 100 microns.


In optional step 58, a thin oxide layer is thermally grown on the epitaxial surface. The thin oxide layer may be used for solar cell surface passivation or a masking layer for subsequent selective surface openings.


In optional step 60, temporary or permanent reinforcement plates are mounted on the front and back silicon surfaces. The reinforcement plates also serve as carriers for enabling handling and processing thin TFSSs using commercially available solar cell manufacturing equipment, as disclosed in PCT application serial nos. PCT/US10/60591 and PCT/US10/62614.


Next, at step 62, the epitaxial layer on the wafer edge is removed by template edge lapping, grinding or polishing, to expose the buried porous silicon layer so that the epitaxial layers may be released from the template. Alternatively, the epitaxial growth is prevented from growing at template edges by mechanical shadowing. In another alternative method, as disclosed in related applications, the TFSS edges are defined by laser trench cutting the cutting depth no larger than approximately the epitaxial layer thickness.


In step 64, the optionally reinforced epitaxial layer of silicon is released/separated from the template, and the released epitaxial silicon layer is therefore referred as a thin film silicon substrate. Related applications disclose detailed methods of releasing the epitaxial layer to form a TFSS. In one of the disclosed methods, the TFSS is released in an ultrasonic DI-water bath. In another disclosed method, the TFSS is released by direct pulling with the wafer backside and the top epitaxial layer vacuum chucked.


In step 66, the released TFSS backside surface is cleaned by short silicon etching using KOH or TMAH solutions to remove the silicon debris and fully or partially remove the quasi-monocrystalline silicon (QMS) layer. In the meantime and after removal of the edge epitaxial silicon layer from the template, the template is cleaned by using diluted HF and diluted wet silicon etch solution, such as TMAH and/or KOH to remove the remaining porous silicon layers and silicon particles. Then the template is further cleaned by conventional silicon wafer cleaning methods, such as SC1 and SC2 wet cleaning (or the so-called RCA cleaning process) to removal possible organic and metallic contaminations. Finally, after proper rinsing with DI water and N2 drying, the template is ready for another re-use cycle.



FIGS. 5A-5E illustrate cross-sectional schematic drawings of the planar TFSS making process, after major processing steps, using the double-sided re-usable template. The dimensions in these drawings are not drawn to scale. FIG. 5A illustrates starting template 70 that has substantially planar front and back surfaces.



FIG. 5B illustrates the template after formation of porous silicon 72 on both its front and back surfaces. However, the porous silicon layers on the front and back surfaces are not connected, since there is no porous silicon formed on the very edge or bevel area of the template.



FIG. 5C illustrates the grown epitaxial layers 74 on both the front and back template surfaces. Epitaxial layers 74 in this example comprise n+ doped FSF layer 76, n doped base 78, and p+ doped emitter 80. Depending on the template holding configuration in the epitaxial growth chamber, there may or may not be thin epitaxial silicon layers grown on the very edge or bevel area of the template surface.



FIG. 5D illustrates the step after reinforcement plates 82 are mounted and border definition trenches 84 are made at the edges of the TFSS. Edge epitaxial layers 86 may be removed before or after TFSS separation.



FIG. 5E illustrates the release of TFSSs 88 with the reinforcement plates. The separation of TFSSs 88 from the template surface happens in the buried porous silicon layers. The remaining porous silicon layers and/or QMS thin layers on the TFSS and template surfaces are etched off by wet chemical etching, such as diluted KOH or NaOH solution (or alternatively using an HF-nitric-acetic (HNA) solution), after the TFSS release. The remaining epitaxial and QMS silicon layers on the template edges are also removed or etched off prior to the next template reuse cycle.



FIG. 6 shows a process flow and illustrates the template after major fabrication process steps for making double-sided re-usable templates that have hexagonal honeycomb trenches. Such 3-D templates are used to make honeycomb TFSSs.


The template fabrication process starts at step 90 with a mono-crystalline (100) silicon wafer. In step 92, photoresist layers 93 are coated and patterned on both the front and back side surfaces of the template. Alternatively, resist layers could be screen printed.


Next, at step 94, the front and back side silicon trenches are etched in a deep-reactive-ion-etch (DRIE) or a reactive-ion-etch (RIE) process using silicon etching gases, such as SF6. In the DRIE process, periodic surface passivation using C4F8 gas is performed to control the trench sidewall surfaces. The trench etching is time-controlled. After the trench etching, the remaining resist layer is removed at step 96, and all the exposed silicon surfaces are fully cleaned at step 98. Optionally, the trench sidewall rough surfaces are slightly etched to make them smoother. At step 100, the reusable template is complete.


The 3-D TFSS manufacturing process of using the double-sided honeycomb template is substantially the same as the planar TFSS making process, except the reinforcement plates may not be needed prior to the TFSS releasing. For simplicity, the 3-D TFSS making process is not shown in figures.



FIG. 7 illustrates major fabrication process steps for making double-sided re-usable templates that have inverted pyramidal cavities. Such 3-D templates are used to make pyramidal TFSSs. The template fabrication process starts at step 110 with a monocrystalline (100) silicon wafer. The thickness of the wafers is in the range of approximately 0.5 to 1 mm (or even a few mm). In step 112, a thermally grown oxide layer of about 0.5 to 1.5 microns is used as hard mask layer 113. The silicon oxide layer covers the entire wafer surfaces including the edges. The oxide on the wafer edge is not shown.


Next, at step 114, photolithographic defined or screen-printed photoresist pattern is applied on the front and back wafer surface. The photolithography process includes photoresist coating, baking, exposure, developing and post baking. The photoresist pattern consists of staggered large square openings and small square openings. It is important the square-opening patterns are precisely aligned to the wafer <100> direction on the front surface.


The patterned resist pattern is then transferred at step 116 to the hard masking layer, i.e. the front oxide layer. The pattern transferring from the photoresist layer to the oxide layer is achieved by controlled oxide etching in a buffered HF solution. During the HF wet etching, the front and back oxide opening are etched in the same time and the edge oxide layer is fully protected and kept at its original thickness. The oxide pattern on the wafer surfaces also consists of staggered large and small square openings that are aligned to the <100> crystallographic directions.


After the pattern transfer, at step 118, the remaining photoresist layer is removed by wet or dry photoresist removal methods. Next, at step 120, silicon anisotropic etching is conducted by a time-controlled KOH, NaOH, or TMAH etching that results in large pyramidal silicon cavities. The etching temperature may be in the range of approximately 50° C. to 80° C. The exposed silicon surfaces on the front and back sides are etched in the same time and the wafer edge surfaces are fully protected by the un-patterned oxide layer. The KOH etch may be time-controlled so that a certain pyramidal cavity depth may be reached. Alternatively, the KOH etching may be self-terminated when the four pyramid (111) sidewalls meet at the cavity bottom.


After the KOH etching, the remaining oxide layer is thinner than before the etching since the oxide is also etched to some extent in the KOH or TMAH solution, albeit with a much slower etch rate than silicon etch. The remaining oxide layer is then removed at step 122 in a diluted HF solution followed by standard wafer cleaning in SC2 and SC2, DI water rinsing and N2 drying. At step 124, reusable pyramidal double-sided template is finished.


The 3-D TFSS manufacturing process of using the double-sided pyramidal template is same as the planar TFSS making process, except the reinforcement plates may not be needed prior to the TFSS releasing. For simplicity, the 3-D TFSS making process is not shown in figures.



FIG. 8 illustrates a conceptual cross-sectional drawing of one possible embodiment of an apparatus for forming porous silicon layers on both sides of the silicon template in a batch electrochemical anodic etch process. Templates 130 are batch loaded in the etching chamber and they are individually held from and sealed at their edges with seals 132. Therefore there are no porous silicon layers formed at the very edge surface of the template. The loaded templates are spaced uniformly in the etching chamber and the electrolytic liquid (HF, IPA, and DI water mixture) between the templates has a consistent concentration and volume. The temperature of the liquid is actively controlled and gas bubbles generated during the process are timely removed by external liquid circulation and gas bubble extraction. Power supply 134 supplies power with current intensity control, time control, and polarity switching capability to electrodes 136. The electrical current polarity is periodically switched so that each template side is consecutively and cumulatively etched. In addition to the periodical current polarity switching, the current intensity is also changed in a controlled manner to form a porous silicon layer that may consists of two or thin layers with different porosities, or a graded porosity. One of ordinary skill will understand that different electrolyte volumes and concentrations, etch chamber sizes, distances between adjacent templates, current levels and polarities may be used in the embodiment of FIG. 8. The passage of electrical current creates porous silicon layers 138.



FIG. 9 illustrates a conceptual cross-sectional drawing of an apparatus for concurrently growing epitaxial silicon layers on both sides of the silicon template in a batch process. As described above, porous silicon layers are formed on both sides of the silicon templates, except the very edges of the templates. The templates are batch loaded in the epitaxial reaction chamber and they are individually held from their edges where there are no porous silicon layers.


This edge-holding method prevents broken porous silicon debris from accumulating during the template loading and unloading process. The loaded templates are spaced uniformly in the reaction chamber. With the distributed gas injection layout, reactive gases are evenly delivered from gas injector 144 to all the exposed silicon surfaces and exhausted via exhaust 146, enabling uniform epitaxial growth within a single wafer and among wafers. The reaction chamber is heated to a high temperature in the range of 950° C. to 1200° C. during the process. The heating and its control function are not shown in FIG. 9 for simplicity.


Those with ordinary skill in the art will recognize that the disclosed embodiments have relevance to a wide variety of areas in addition to those specific examples described above.


The foregoing description of the exemplary embodiments is provided to enable any person skilled in the art to make or use the claimed subject matter. Various modifications to these embodiments will be readily apparent to those skilled in the art, and the generic principles defined herein may be applied to other embodiments without the use of the innovative faculty. Thus, the claimed subject matter is not intended to be limited to the embodiments shown herein but is to be accorded the widest scope consistent with the principles and novel features disclosed herein.


It is intended that all such additional systems, methods, features, and advantages that are included within this description be within the scope of the claims.

Claims
  • 1. An apparatus for forming porous silicon layers on at least two surfaces of a plurality of silicon templates in a batch electrochemical anodic etch process, said apparatus comprising: a plurality of edge-sealing template mounts, said plurality of mounts operable to prevent formation of porous silicon at the edges of a plurality of templates;an electrolyte disposed among said plurality of templates;an electrolyte temperature controller;an external liquid circulation and gas bubble extractor; anda power supply operable to switch polarity, change current intensity, and control etching time to produce said porous silicon layers.
  • 2. An apparatus for forming porous silicon layers on at least two surfaces of a plurality of silicon templates in a batch electrochemical anodic etch process, said apparatus comprising: a plurality of edge-sealing template mounts, said plurality of mounts operable to prevent formation of porous silicon at the edges of a plurality of templates;an electrolyte disposed among said plurality of templates; anda power supply operable to switch polarity, change current intensity, and control etching time to produce said porous silicon layers.
  • 3. The apparatus of claim 2, further comprising an electrolyte temperature controller.
  • 4. The apparatus of claim 2, further comprising an external liquid circulation and gas bubble extractor.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. Non-Provisional Ser. No. 13/026,239, filed Feb. 12, 2011 which claims priority to U.S. Provisional Patent Application Ser. No. 61/304,340, filed Feb. 12, 2010, both of which are hereby incorporated by reference in its entirety. Other related applications having common inventorship and/or ownership are mentioned throughout this disclosure, and they are also incorporated by reference in their entirety.

US Referenced Citations (220)
Number Name Date Kind
4043894 Gibbs Aug 1977 A
4070206 Kressel et al. Jan 1978 A
4082570 House et al. Apr 1978 A
4165252 Gibbs Aug 1979 A
4249959 Jebens Feb 1981 A
4251679 Zwan Feb 1981 A
4348254 Lindmayer Sep 1982 A
4361950 Amick Dec 1982 A
4409423 Holt Oct 1983 A
4427839 Hall Jan 1984 A
4430519 Young Feb 1984 A
4461922 Gay et al. Jul 1984 A
4479847 McCaldin et al. Oct 1984 A
4626613 Wenham et al. Dec 1986 A
4661212 Ehrfeld et al. Apr 1987 A
4672023 Leung Jun 1987 A
4922277 Carlson May 1990 A
5024953 Uematsu et al. Jun 1991 A
5073230 Maracas et al. Dec 1991 A
5112453 Behr et al. May 1992 A
5208068 Davis May 1993 A
5248621 Sano Sep 1993 A
5316593 Olson et al. May 1994 A
5348618 Canham et al. Sep 1994 A
5358600 Canham et al. Oct 1994 A
5397400 Matsuno et al. Mar 1995 A
5458755 Fujiyama et al. Oct 1995 A
5459099 Hsu Oct 1995 A
5494832 Lehmann et al. Feb 1996 A
5538564 Kaschmitter Jul 1996 A
5616185 Kukulka Apr 1997 A
5645684 Keller Jul 1997 A
5653803 Ito Aug 1997 A
5660680 Keller Aug 1997 A
5679233 Van Anglen et al. Oct 1997 A
5681392 Swain Oct 1997 A
5689603 Huth Nov 1997 A
5704992 Willeke et al. Jan 1998 A
5882988 Haberern et al. Mar 1999 A
5899360 Mack et al. May 1999 A
5928438 Salami Jul 1999 A
5951833 Yamagata Sep 1999 A
5994640 Bansemir et al. Nov 1999 A
6058945 Fujiyama et al. May 2000 A
6091021 Ruby Jul 2000 A
6096229 Shahid Aug 2000 A
6114046 Hanoka Sep 2000 A
6127623 Nakamura et al. Oct 2000 A
6143629 Sato Nov 2000 A
6197654 Swanson Mar 2001 B1
6204443 Kiso et al. Mar 2001 B1
6225193 Simpson et al. May 2001 B1
6235147 Lee et al. May 2001 B1
6254759 Rasmussen Jul 2001 B1
6258244 Ohmi et al. Jul 2001 B1
6294725 Hirschberg et al. Sep 2001 B1
6313397 Washio et al. Nov 2001 B1
6331208 Nishida et al. Dec 2001 B1
6399143 Sun Jun 2002 B1
6416647 Dordi et al. Jul 2002 B1
6417069 Sakaguchi et al. Jul 2002 B1
6428620 Yamagata Aug 2002 B1
6429037 Wenham et al. Aug 2002 B1
6441297 Keller et al. Aug 2002 B1
6448155 Iwasaki et al. Sep 2002 B1
6461932 Wang Oct 2002 B1
6517697 Yamagata Feb 2003 B1
6524880 Moon et al. Feb 2003 B2
6534336 Iwane et al. Mar 2003 B1
6551908 Ukiyo et al. Apr 2003 B2
6555443 Artmann et al. Apr 2003 B1
6566235 Nishida et al. May 2003 B2
6602760 Poortmans et al. Aug 2003 B2
6602767 Nishida et al. Aug 2003 B2
6613148 Rasmussen Sep 2003 B1
6624009 Green et al. Sep 2003 B1
6645833 Brendel Nov 2003 B2
6649485 Solanki et al. Nov 2003 B2
6653722 Blalock Nov 2003 B2
6664169 Iwasaki et al. Dec 2003 B1
6726815 Artman et al. Apr 2004 B1
6756289 Nakagawa et al. Jun 2004 B1
6805966 Formato et al. Oct 2004 B1
6818104 Iwasaki et al. Nov 2004 B2
6881644 Malik et al. Apr 2005 B2
6946052 Yanagita et al. Sep 2005 B2
6964732 Solanki Nov 2005 B2
7014748 Matsumura et al. Mar 2006 B2
7022585 Solanki et al. Apr 2006 B2
7026237 Lamb Apr 2006 B2
7309658 Lazovsky et al. Dec 2007 B2
7368756 Bruhns et al. May 2008 B2
7402523 Nishimura Jul 2008 B2
7625609 Matsuura Dec 2009 B2
7745313 Wang et al. Jun 2010 B2
7786376 Nag et al. Aug 2010 B2
7999174 Moslehi et al. Aug 2011 B2
8035027 Moslehi et al. Oct 2011 B2
8035028 Moslehi et al. Oct 2011 B2
8053665 Moslehi et al. Nov 2011 B2
8084684 Moslehi et al. Dec 2011 B2
8129822 Moslehi et al. Mar 2012 B2
8168465 Wang et al. May 2012 B2
8193076 Moslehi et al. Jun 2012 B2
8241940 Moslehi et al. Aug 2012 B2
8906218 Kramer et al. Dec 2014 B2
8926803 Crafts et al. Jan 2015 B2
8992746 Miyaji et al. Mar 2015 B2
8999058 Kamian et al. Apr 2015 B2
9076642 Yonehara et al. Jul 2015 B2
20020079290 Holdermann Jun 2002 A1
20020106874 Iwane et al. Aug 2002 A1
20020153039 Moon et al. Oct 2002 A1
20020168592 Vezenov Nov 2002 A1
20020179140 Toyomura Dec 2002 A1
20030008473 Sakaguchi et al. Jan 2003 A1
20030017712 Brendel Jan 2003 A1
20030039843 Johnson Feb 2003 A1
20030121773 Matsumura et al. Jul 2003 A1
20030124761 Baert Jul 2003 A1
20030186517 Takagi Oct 2003 A1
20040021062 Zaidi Feb 2004 A1
20040028875 Van Rijn Feb 2004 A1
20040035532 Jung et al. Feb 2004 A1
20040173790 Yeo Sep 2004 A1
20040175893 Vatus et al. Sep 2004 A1
20040192044 Degertekin et al. Sep 2004 A1
20040217005 Rosenfeld et al. Nov 2004 A1
20040235406 Duescher Nov 2004 A1
20040256238 Suzuki et al. Dec 2004 A1
20040259335 Narayanan Dec 2004 A1
20040265587 Koyanagi Dec 2004 A1
20050092600 Yoshioka et al. May 2005 A1
20050160970 Niira Jul 2005 A1
20050172998 Gee et al. Aug 2005 A1
20050176164 Gee et al. Aug 2005 A1
20050177343 Nagae Aug 2005 A1
20050199279 Yoshimine et al. Sep 2005 A1
20050274410 Yuuki et al. Dec 2005 A1
20050281982 Li Dec 2005 A1
20060021565 Zahler et al. Feb 2006 A1
20060043495 Uno Mar 2006 A1
20060054212 Fraas et al. Mar 2006 A1
20060070884 Momoi et al. Apr 2006 A1
20060105492 Veres et al. May 2006 A1
20060105912 Konle et al. May 2006 A1
20060177988 Shea et al. Aug 2006 A1
20060196536 Fujioka Sep 2006 A1
20060231031 Dings et al. Oct 2006 A1
20060266916 Miller et al. Nov 2006 A1
20060270179 Yang Nov 2006 A1
20060283495 Gibson Dec 2006 A1
20060286775 Singh et al. Dec 2006 A1
20070077770 Wang et al. Apr 2007 A1
20070082499 Jung et al. Apr 2007 A1
20070187257 Noji et al. Aug 2007 A1
20070251817 Kido et al. Nov 2007 A1
20080047601 Nag et al. Feb 2008 A1
20080128641 Henley et al. Jun 2008 A1
20080157283 Moslehi Jul 2008 A1
20080173238 Nakashima et al. Jul 2008 A1
20080210294 Moslehi Sep 2008 A1
20080264477 Moslehi Oct 2008 A1
20080277885 Duff et al. Nov 2008 A1
20080289684 Moslehi Nov 2008 A1
20080295887 Moslehi Dec 2008 A1
20090042320 Wang et al. Feb 2009 A1
20090107545 Moslehi Apr 2009 A1
20090151784 Luan et al. Jun 2009 A1
20090199901 Trassl et al. Aug 2009 A1
20090260685 Lee et al. Oct 2009 A1
20090301549 Moslehi Dec 2009 A1
20100022074 Wang et al. Jan 2010 A1
20100116316 Moslehi et al. May 2010 A1
20100144080 Ong Jun 2010 A1
20100148318 Wang et al. Jun 2010 A1
20100148319 Wang et al. Jun 2010 A1
20100154998 Ong Jun 2010 A1
20100175752 Wang et al. Jul 2010 A1
20100203711 Wang et al. Aug 2010 A1
20100267186 Wang et al. Oct 2010 A1
20100267245 Kamian et al. Oct 2010 A1
20100279494 Wang et al. Nov 2010 A1
20100294333 Wang et al. Nov 2010 A1
20100294356 Parikh et al. Nov 2010 A1
20100300518 Moslehi et al. Dec 2010 A1
20100304521 Seutter et al. Dec 2010 A1
20100304522 Rana et al. Dec 2010 A1
20110014742 Parikh et al. Jan 2011 A1
20110030610 Kamian et al. Feb 2011 A1
20110108098 Kapur et al. May 2011 A1
20110120882 Crafts et al. May 2011 A1
20110124145 Moslehi et al. May 2011 A1
20110265867 Moslehi et al. Nov 2011 A1
20110272013 Moslehi et al. Nov 2011 A1
20110284068 Moslehi et al. Nov 2011 A1
20120012160 Moslehi et al. Jan 2012 A1
20120017971 Moslehi et al. Jan 2012 A1
20120017988 Moslehi et al. Jan 2012 A1
20120021560 Moslehi et al. Jan 2012 A1
20120028399 Moslehi et al. Feb 2012 A1
20120085278 Moslehi et al. Apr 2012 A1
20120103408 Moslehi et al. May 2012 A1
20120122272 Rana et al. May 2012 A1
20120125256 Kramer et al. May 2012 A1
20120145553 Kramer et al. Jun 2012 A1
20120167819 Kramer et al. Jul 2012 A1
20120171804 Moslehi et al. Jul 2012 A1
20120174860 Moslehi et al. Jul 2012 A1
20120174861 Wang et al. Jul 2012 A1
20120178203 Moslehi et al. Jul 2012 A1
20120180867 Moslehi et al. Jul 2012 A1
20120192789 Kramer et al. Aug 2012 A1
20120225515 Moslehi et al. Sep 2012 A1
20130020206 Wuebben et al. Jan 2013 A1
20130154061 Hayashi et al. Jun 2013 A1
20150159292 Kramer et al. Jun 2015 A1
20150299892 Moslehi et al. Oct 2015 A1
20150308008 Miyaji et al. Oct 2015 A1
20150315719 Kamian et al. Nov 2015 A1
Foreign Referenced Citations (31)
Number Date Country
1188820 Jul 1998 CN
1841676 Oct 2006 CN
41 41 083 Jun 1993 DE
0 334 330 Sep 1989 EP
0 597 428 May 1994 EP
0 879 902 Nov 1998 EP
1 024 523 Feb 2000 EP
0989593 Mar 2000 EP
1 054 458 Nov 2000 EP
1059663 Dec 2000 EP
02-154343 Jun 1990 JP
H05-198558 Aug 1993 JP
H06-151406 May 1994 JP
06-260670 Sep 1994 JP
H08-181103 Jul 1996 JP
H09 255487 Sep 1997 JP
H10-275798 Oct 1998 JP
H10-312990 Nov 1998 JP
2002184709 Jun 2002 JP
2002-299661 Oct 2002 JP
2004 172496 Jun 2004 JP
2007-224375 Sep 2007 JP
2008177563 Jul 2008 JP
WO 9641368 Dec 1996 WO
PCTEP9908573 May 2000 WO
WO02055760 Jul 2002 WO
WO2010083422 Jul 2010 WO
WO2010129719 Nov 2010 WO
WO 2011100647 Aug 2011 WO
WO2012040688 Mar 2012 WO
WO2013126033 Aug 2013 WO
Non-Patent Literature Citations (35)
Entry
Alvin D. Compaan, Photovoltaics: Clean Power for the 21st Century, Solar Energy Materials & Solar Cells, 2006, pp. 2170-2180, vol. 90, Elsevier B.V.
C.Berge, 150-mm Layer Transfer for Monocrystalline Silicon Solar Cells, Solar Energy Materials & Solar Cells, 2006, pp. 3102-3107, vol. 90, Elsevier B.V.
C.Oules et al, Silicon on Insulator Structures Obtained by Epitaxial Growth of Silicon over Porous Silicon, Journal of the Electrochemical Society, Inc., 1992, p. 3595, vol. 139, No. 12, Meylan Cedex, France.
C.S.Solanki, et al, Porous Silicon Layer Transfer Processes for Solar Cells, Solar Energy Materials & Solar Cells, 2004, pp. 101-113, vol. 83, Elsevier B.V., Leuven, Belgium.
C.S.Solanki, et al, Self-Standing Porous Silicon Films by One-Step Anodizing, Journal of Electrochemical Society, 2004, pp. C307-C314, vol. 151, The Electrochemical Society, Inc., Leuven, Belgium.
F.Duerinckx, et al, Reorganized Porous Silicon Bragg Reflectors for Thin-Film Silicon Solar Cells, IEEE Electron Device Letters, Oct. 2006, vol. 27, No. 10.
Francois J. Henley, Layer-Transfer Quality Cleave Principles, SiGen, Jul. 8, 2005, pp. 1-6, The Silicon Genesis Corporation, San Jose, California.
H.J.Kim, et al, Large-Area Thin-Film Free-Standing Monocrystalline Si Solar cells by Layer Transfer, Leuven, Belgium, IEEE.
J.H.Werner et al, From Polycrystalline to Single Crystalline Silicon on Glass, Thin Solid Films, 2001, pp. 95-100, vol. 383, Issue 1-2, Elsevier Science B.V., Germany.
J.J. Schermer et al., Epitaxial Lift-Off for large area thin film III/V devices, phys. Stat. sol. (a) 202, No. 4, 501-508 (2005).
Jianhua Zhao, et al, A 19.8% Efficient Honeycomb Multicrystalline Silicon Solar Cell with Improved Light Trapping, IEEE Transactions on Electron Devices, 1999, vol. 46, No. 10.
K. Van Nieuwenhuysen et al., Progress in epitaxial deposition on low-cost substrates for thin-film crystalline silicon solar cells at IMEC, Journal of Crystal Growth, 2006, pp. 438-441, vol. 287, Elsevier B.V., Leuven, Belgium.
K.L. Chopra et al., Thin-Film Solar Cells: An Overview, Progress in Photovoltaics: Research and Applications, 2004, pp. 69-92, vol. 12, John Wiley & Sons, Ltd.
Lammert et al., The Interdigitated Back Contact Solar Cell: A Silicon Solar Cell for Use in Concentrated Sunlight, IEEE Transactions on Electron Devices, pp. 337-342.
MacDonald et al., “Design and Fabrication of Highly Topographic Nano-imprint Template for Dual Damascene Full 3-D Imprinting,” Dept. of Chemical Eng., University of Texas at Austin, Oct. 24, 2005.
Martin A. Green, Consolidation of Thin-Film Photovoltaic Technology: The Coming Decade of Opportunity, Progress in Photovoltaics: Research and Applications, 2006, pp. 383-392, vol. 14, John Wiley & Sons, Ltd.
Martin A. Green, Silicon Photovoltaic Modules: A Brief History of the First 50 Years, Progress in Photovoltaics: Research and Applications, 2005, pp. 447-455, vol. 13, John Wiley & Sons, Ltd.
Nobuhiko Sato et al, Epitaxial Growth on Porous Si for a New Bond and Etchback Silicon-on-Insulator, Journal of Electrochemical Society, Sep. 1995, vol. 142, No. 9, The Electrochemical Society, Inc., Hiratsuka, Japan.
P.J.Verlinden, et al, Sliver® Solar Cells: A New Thin-Crystalline Silicon Photovoltaic Technology, Solar Energy Materials & Solar Cells, 2006, pp. 3422-3430, vol. 90, Elsevier B.V.
P.R. Hageman et al., Large Area, Thin Film Epitaxial Lift Off III/V Solar Cells, 25th PVSC, May 13-17, 1996, Washington D.C., IEEE.
Photovoltaic Technology Research Advisory Council, A Vision for Photovoltaic Technology, 2005, pp. 1-41, European Commision Publications Office.
Prometheus Institute, U.S. Solar Industry Year in Review: U.S. Solar Energy Industry Charging Ahead, (SEIA) The Solar Energy Industry Association.
R.Brendel, et al, Sol-Gel Coatings for Light Trapping in Crystalline Thin Film Silicon Solar Cells, Journal of Non-Crystalline Solids, 1997, pp. 391-394, vol. 218, Elsevier Science B.V., Germany.
R.B. Bergmann, Crystalline Si Thin-Film Solar Cells: A Review, 1999, pp. 187-194, vol. 69, Applied Physics A Materials Science and Processing, Springer-Verlag.
Richard Auer et al, Simplified Transfer Process for High-Current Thin-Film Crystalline Si Solar Modules, 3rd World Conference on Photovoltaic Energy Conversion, May 11-18, 2003, Osaka, Japan.
Richard M. Swanson, A Vision for Crystalline Silicon Photovoltaics, Progress in Photovoltaics: Research and Applications, 2006, pp. 443-453, vol. 14, John Wiley & Sons, Ltd.
Rolf Brendel, A Novel Process for Ultrathin Monocrystalline Silicon Solar Cells on Glass, 14th European Photovolaic Solar Energy Conference, Jun. 30-Jul. 4, 1997, Barcelona, Spain.
Rolf Brendel, Review of Layer Transfer Processes for Cystalline Thin-Film Silicon Solar Cells, The Japan Journal of Applied Physics, 2001, pp. 4431-4439, vol. 40, Part 1, No. 7, The Japan Society of Applied Physics, Japan.
Rolf Brendel, Thin-Film Crystalline Silicone Mini-Modules Using Porous Si for Layer Transfer, Solar Energy, 2004, pp. 969-982, vol. 77, Elsevier Ltd., Germany.
S. Hegedus, Thin Film Solar Modules: The Low Cost, High Throughput and Versatile Alternative to Si Wafers, Progress in Photvoltaics: Research and Applications, 2006, pp. 393-411, vol. 14, John Wiley & Sons, Ltd.
Takao Yonehara, et al, Epitaxial Layer Transfer by Bond and Etch Back of Porous Si, Applied Physics Letter 64, Apr. 18, 1994, vol. 16, American Institute of Physics.
Toshiki Yagi, et al, Ray-Trace Simulation of Light Trapping in Silicon Solar Cell with Texture Structures, Solar Energy Materials & Solar Cells, 2006, pp. 2647-2656, vol. 90, Elsevier B.V.
PCT International Search Report and Written Opinion dated Oct. 18, 2011 issued in PCT/US2011/024670.
PCT International Preliminary Report on Patentability dated Aug. 14, 2012 issued in PCT/US2011/024670.
EP Extended Search Report dated Apr. 1, 2015 issued in EP 11742933.2.
Related Publications (1)
Number Date Country
20130171808 A1 Jul 2013 US
Provisional Applications (1)
Number Date Country
61304340 Feb 2010 US
Continuations (1)
Number Date Country
Parent 13026239 Feb 2011 US
Child 13554103 US