Application mapping on hardened network-on-chip (NoC) of field-programmable gate array (FPGA)

Information

  • Patent Grant
  • 11023377
  • Patent Number
    11,023,377
  • Date Filed
    Friday, January 25, 2019
    5 years ago
  • Date Issued
    Tuesday, June 1, 2021
    3 years ago
Abstract
Methods and example implementations described herein are generally directed to the addition of networks-on-chip (NoC) to FPGAs to customize traffic and optimize performance. An aspect of the present application relates to a Field-Programmable Gate-Array (FPGA) system. The FPGA system can include an FPGA having one or more lookup tables (LUTs) and wires, and a Network-on-Chip (NoC) having a hardened network topology configured to provide connectivity at a higher frequency that the FPGA. The NoC is coupled to the FPGA to receive an profile information associated with an application, retrieve at least a characteristic, selected form any of combination of any or combination of a bandwidth requirement, latency requirement, protocol requirement and transactions, associated with the application from the profile information, generate at least one application traffic graph having mapping information based on the characteristic retrieved, and map the application traffic graph generated with into the FPGA using the hardened NoC.
Description
TECHNICAL FIELD

Methods and example implementations described herein are generally directed to Field-Programmable Gate-Arrays (FPGAs) or other programmable logic devices (PLDs) or other devices based thereon, and more specifically, to the addition of networks-on-chip (NoC) to FPGAs to customize traffic and optimize performance. This includes both modifications to the FPGA architecture and design flow.


RELATED ART

The number of components on a chip is rapidly growing due to increasing levels of integration, system complexity and shrinking transistor geometry. Complex System-on-Chips (SoCs) may involve a variety of components e.g., processor cores, DSPs, hardware accelerators, memory and I/O, while Chip Multi-Processors (CMPs) may involve a large number of homogenous processor cores, memory and I/O subsystems. In both SoC and CMP systems, the on-chip interconnect plays a role in providing high-performance communication between the various components. Due to scalability limitations of traditional buses and crossbar based interconnects, Network-on-Chip (NoC) has emerged as a paradigm to interconnect a large number of components on the chip. NoC is a global shared communication infrastructure made up of several routing nodes interconnected with each other using point-to-point physical links.


Messages are injected by the source and are routed from the source node to the destination over multiple intermediate nodes and physical links. The destination node then ejects the message and provides the message to the destination. For the remainder of this application, the terms ‘components’, ‘blocks’, ‘hosts’ or ‘cores’ will be used interchangeably to refer to the various system components which are interconnected using a NoC. Terms ‘routers’ and ‘nodes’ will also be used interchangeably. Without loss of generalization, the system with multiple interconnected components will itself be referred to as a ‘multi-core system’.


There are several topologies in which the routers can connect to one another to create the system network. Bi-directional rings (as shown in FIG. 1A, 2-D (two dimensional) mesh (as shown in FIG. 1B), and 2-D Torus (as shown in FIG. 1C) are examples of topologies in the related art. Mesh and Torus can also be extended to 2.5-D (two and half dimensional) or 3-D (three dimensional) organizations. FIG. 1D shows a 3D mesh NoC, where there are three layers of 3×3 2D mesh NoC shown over each other. The NoC routers have up to two additional ports, one connecting to a router in the higher layer, and another connecting to a router in the lower layer. Router 111 in the middle layer of the example has its ports used, one connecting to the router 112 at the top layer and another connecting to the router 110 at the bottom layer. Routers 110 and 112 are at the bottom and top mesh layers respectively and therefore have only the upper facing port 113 and the lower facing port 114 respectively connected.


Packets are message transport units for intercommunication between various components. Routing involves identifying a path that is a set of routers and physical links of the network over which packets are sent from a source to a destination. Components are connected to one or multiple ports of one or multiple routers; with each such port having a unique identification (ID). Packets can carry the destination's router and port ID for use by the intermediate routers to route the packet to the destination component.


Examples of routing techniques include deterministic routing, which involves choosing the same path from A to B for every packet. This form of routing is independent from the state of the network and does not load balance across path diversities, which might exist in the underlying network. However, such deterministic routing may implemented in hardware, maintains packet ordering and may be rendered free of network level deadlocks. Shortest path routing may minimize the latency as such routing reduces the number of hops from the source to the destination. For this reason, the shortest path may also be the lowest power path for communication between the two components. Dimension-order routing is a form of deterministic shortest path routing in 2-D, 2.5-D, and 3-D mesh networks. In this routing scheme, messages are routed along each coordinates in a particular sequence until the message reaches the final destination. For example in a 3-D mesh network, one may first route along the X dimension until it reaches a router whose X-coordinate is equal to the X-coordinate of the destination router. Next, the message takes a turn and is routed in along Y dimension and finally takes another turn and moves along the Z dimension until the message reaches the final destination router. Dimension ordered routing may be minimal turn and shortest path routing.



FIG. 2A pictorially illustrates an example of XY routing in a two dimensional mesh. More specifically, FIG. 2A illustrates XY routing from node ‘34’ to node ‘00’. In the example of FIG. 2A, each component is connected to only one port of one router. A packet is first routed over the X-axis till the packet reaches node ‘04’ where the X-coordinate of the node is the same as the X-coordinate of the destination node. The packet is next routed over the Y-axis until the packet reaches the destination node.


In heterogeneous mesh topology in which one or more routers or one or more links are absent, dimension order routing may not be feasible between certain source and destination nodes, and alternative paths may have to be taken. The alternative paths may not be shortest or minimum turn.


Source routing and routing using tables are other routing options used in NoC. Adaptive routing can dynamically change the path taken between two points on the network based on the state of the network. This form of routing may be complex to analyze and implement.


A NoC interconnect may contain multiple physical networks. Over each physical network, there exist multiple virtual networks, wherein different message types are transmitted over different virtual networks. In this case, at each physical link or channel, there are multiple virtual channels; each virtual channel may have dedicated buffers at both end points. In any given clock cycle, only one virtual channel can transmit data on the physical channel.


NoC interconnects may employ wormhole routing, wherein, a large message or packet is broken into small pieces known as flits (also referred to as flow control digits). The first flit is a header flit, which holds information about this packet's route and key message level info along with payload data and sets up the routing behavior for all subsequent flits associated with the message. Optionally, one or more body flits follows the header flit, containing remaining payload of data. The final flit is a tail flit, which, in addition to containing last payload, also performs some bookkeeping to close the connection for the message. In wormhole flow control, virtual channels are often implemented.


The physical channels are time sliced into a number of independent logical channels called virtual channels (VCs). VCs provide multiple independent paths to route packets, however they are time-multiplexed on the physical channels. A virtual channel holds the state needed to coordinate the handling of the flits of a packet over a channel. At a minimum, this state identifies the output channel of the current node for the next hop of the route and the state of the virtual channel (idle, waiting for resources, or active). The virtual channel may also include pointers to the flits of the packet that are buffered on the current node and the number of flit buffers available on the next node.


The term “wormhole” plays on the way messages are transmitted over the channels: the output port at the next router can be so short that received data can be translated in the head flit before the full message arrives. This allows the router to quickly set up the route upon arrival of the head flit and then opt out from the rest of the conversation. Since a message is transmitted flit by flit, the message may occupy several flit buffers along its path at different routers, creating a worm-like image.


Based upon the traffic between various end points, and the routes and physical networks that are used for various messages, different physical channels of the NoC interconnect may experience different levels of load and congestion. The capacity of various physical channels of a NoC interconnect is determined by the width of the channel (number of physical wires) and the clock frequency at which it is operating. Various channels of the NoC may operate at different clock frequencies, and various channels may have different widths based on the bandwidth requirement at the channel. The bandwidth requirement at a channel is determined by the flows that traverse over the channel and their bandwidth values. Flows traversing over various NoC channels are affected by the routes taken by various flows. In a mesh or Torus NoC, there exist multiple route paths of equal length or number of hops between any pair of source and destination nodes. For example, in FIG. 2B, in addition to the standard XY route between nodes 34 and 00, there are additional routes available, such as YX route 203 or a multi-turn route 202 that makes more than one turn from source to destination.


In a NoC with statically allocated routes for various traffic slows, the load at various channels may be controlled by intelligently selecting the routes for various flows. When a large number of traffic flows and substantial path diversity is present, routes can be chosen such that the load on all NoC channels is balanced nearly uniformly, thus avoiding a single point of bottleneck. Once routed, the NoC channel widths can be determined based on the bandwidth demands of flows on the channels. Unfortunately, channel widths cannot be arbitrarily large due to physical hardware design restrictions, such as timing or wiring congestion. There may be a limit on the maximum channel width, thereby putting a limit on the maximum bandwidth of any single NoC channel.


Additionally, wider physical channels may not help in achieving higher bandwidth if messages are short. For example, if a packet is a single flit packet with a 64-bit width, then no matter how wide a channel is, the channel will only be able to carry 64 bits per cycle of data if all packets over the channel are similar. Thus, a channel width is also limited by the message size in the NoC. Due to these limitations on the maximum NoC channel width, a channel may not have enough bandwidth in spite of balancing the routes.


To address the above bandwidth concern, multiple parallel physical NoCs may be used. Each NoC may be called a layer, thus creating a multi-layer NoC architecture. Hosts inject a message on a NoC layer; the message is then routed to the destination on the NoC layer, where it is delivered from the NoC layer to the host. Thus, each layer operates more or less independently from each other, and interactions between layers may only occur during the injection and ejection times. FIG. 3A illustrates a two layer NoC. Here the two NoC layers are shown adjacent to each other on the left and right, with the hosts connected to the NoC replicated in both left and right diagrams. A host is connected to two routers in this example—a router in the first layer shown as R1, and a router is the second layer shown as R2. In this example, the multi-layer NoC is different from the 3D NoC, i.e. multiple layers are on a single silicon die and are used to meet the high bandwidth demands of the communication between hosts on the same silicon die. Messages do not go from one layer to another. For purposes of clarity, the present application will utilize such a horizontal left and right illustration for multi-layer NoC to differentiate from the 3D NoCs, which are illustrated by drawing the NoCs vertically over each other.


In FIG. 3B, a host connected to a router from each layer, R1 and R2 respectively, is illustrated. Each router is connected to other routers in its layer using directional ports 301, and is connected to the host using injection and ejection ports 302. A bridge-logic 303 may sit between the host and the two NoC layers to determine the NoC layer for an outgoing message and sends the message from host to the NoC layer, and also perform the arbitration and multiplexing between incoming messages from the two NoC layers and delivers them to the host.


In a multi-layer NoC, the number of layers needed may depend upon a number of factors such as the aggregate bandwidth requirement of all traffic flows in the system, the routes that are used by various flows, message size distribution, maximum channel width, etc. Once the number of NoC layers in NoC interconnect is determined in a design, different messages and traffic flows may be routed over different NoC layers. Additionally, one may design NoC interconnects such that different layers have different topologies in number of routers, channels and connectivity. The channels in different layers may have different widths based on the flows that traverse over the channel and their bandwidth requirements.


System on Chips (SoCs) are becoming increasingly sophisticated, feature rich, and high performance by integrating a growing number of standard processor cores, memory and I/O subsystems, and specialized acceleration IPs. To address this complexity, NoC approach of connecting SoC components is gaining popularity. A NoC can provide connectivity to a plethora of components and interfaces and simultaneously enable rapid design closure by being automatically generated from a high level specification. The specification describes interconnect requirements of SoC in terms of connectivity, bandwidth, and latency. In addition to this, information such as position of various components such as bridges or ports on boundary of hosts, traffic information, chip size information, etc. may be supplied. A NoC compiler (topology generation engine) can then use this specification to automatically design a NoC for the SoC. A number of NoC compilers were introduced in the related art that automatically synthesize a NoC to fit a traffic specification. In such design flows, the synthesized NoC is simulated to evaluate the performance under various operating conditions and to determine whether the specifications are met. This may be necessary because NoC-style interconnects are distributed systems and their dynamic performance characteristics under load are difficult to predict statically and can be very sensitive to a wide variety of parameters. Specifications can also be in the form of power specifications to define power domains, voltage domains, clock domains, and so on, depending on the desired implementation.


Placing hosts/IP cores in a SoC floorplan to optimize the interconnect performance can be important. For example, if two hosts communicate with each other frequently and require higher bandwidth than other interconnects, it may be better to place them closer to each other so that the transactions between these hosts can go over fewer router hops and links and the overall latency and the NoC cost can be reduced.


Assuming that two hosts with certain shapes and sizes cannot spatially overlap with each other on a 2D SoC plane, tradeoffs may need to be made. Moving certain hosts closer to improve inter-communication between them, may force certain other hosts to be further apart, thereby penalizing inter-communication between those other hosts. To make tradeoffs that improve system performance, certain performance metrics such as average global communication latency may be used as an objective function to optimize the SoC architecture with the hosts being placed in a NoC topology. Determining substantially optimal host positions that maximizes the system performance metric may involve analyzing the connectivity and inter-communication properties between all hosts and judiciously placing them onto the 2D NoC topology. In case if inter-communicating hosts are placed far from each other, this can leads to high average and peak structural latencies in number of hops. Such long paths not only increase latency but also adversely affect the interconnect bandwidth, as messages stay in the NoC for longer periods and consume bandwidth of a large number of links.


Also, existing integrated circuits such as programmable logic devices (PLDs) typically utilize “point-to-point” routing, meaning that a path between a source signal generator and one or more destinations is generally fixed at compile time. For example, a typical implementation of an A-to-B connection in a PLD involves connecting logic areas through an interconnect stack of pre—defined horizontal wires. These horizontal wires have a fixed length, are arranged into bundles, and are typically reserved for that A-to-B connection for the entire operation of the PLDs configuration bit stream. Even where a user is able to subsequently change some features of the point-to-point routing, e.g., through partial recompilation, such changes generally apply to block-level replacements, and not to cycle-by-cycle routing implementations.


Such existing routing methods may render the device inefficient, e.g., when the routing is not used every cycle. A first form of inefficiency occurs because of inefficient wire use. In a first example, when an A-to-B connection is rarely used (for example, if the signal value generated by the source logic area at A rarely changes or the destination logic area at B is rarely programmed to be affected by the result), then the conductors used to implement the A-to-B connection may unnecessarily take up metal, power, and/or logic resources. In a second example, when a multiplexed bus having N inputs is implemented in a point-to-point fashion, metal resources may be wasted on routing data from each of the N possible input wires because the multiplexed bus, by definition, outputs only one of the N input wires and ignores the other N−1 input wires. Power resources may also be wasted in these examples when spent in connection with data changes that do not affect a later computation. A more general form of this inefficient wire use occurs when more than one producer generates data that is serialized through a single consumer or the symmetric case where one producer produces data that is used in a round-robin fashion by two or more consumers.


A second form of inefficiency, called slack-based inefficiency, occurs when a wire is used, but below its full potential, e.g., in terms of delay. For example, if the data between a producer and a consumer is required to be transmitted every 300 ps, and the conductor between them is capable of transmitting the data in a faster, 100 ps timescale, then the 200 ps of slack time in which the conductor is idle is a form of inefficiency or wasted bandwidth. These two forms of wire underutilization, e.g., inefficient wire use and slack-based inefficiency, can occur separately or together, leading to inefficient use of resources, and wasting valuable wiring, power, and programmable multiplexing resources.


In many cases, the high-level description of the logic implemented on a PLD may already imply sharing of resources, such as sharing access to an external memory or a high-speed transceiver. To do this, it is common to synthesize higher-level structures representing busses onto PLDs. In one example, a software tool may generate an industry-defined bus as Register-Transfer-Level (RTL)/Verilog logic, which is then synthesized into an FPGA device. In this case, however, that shared bus structure is still implemented in the manner discussed above, meaning that it is actually converted into point-to-point static routing. Even in a scheme involving time-multiplexing of FPGA wires, routing is still limited to an individual-wire basis and does not offer grouping capabilities.


In large-scale networks, efficiency and performance/area tradeoff is of main concern. Mechanisms such as machine learning approach, simulation annealing, among others, provide optimized topology for a system. However, such complex mechanisms have substantial limitations as they involve certain algorithms to automate optimization of layout network, which may violate previously mapped flow's latency constraint or the latency constraint of current flow. Further, it is also to be considered that each user has their own requirements and/or need for SoCs and/or NoCs depending on a diverse applicability of the same. Therefore, there is a need for systems and methods that significantly improve system efficiency by accurately indicating the best possible positions and configurations for hosts and ports within the hosts, along with indicating system level routes to be taken for traffic flows using the NoC interconnect architecture. Systems and methods are also required for automatically generating an optimized topology for a given SoC floor plan and traffic specification with an efficient layout. Further, systems and methods are also required that allows users to specify their requirements for a particular SoC and/or NoC, provides various options for satisfying their requirements and based on this automatically generating an optimized topology for a given SoC floor plan and traffic specification with an efficient layout.


Integrating NoC with FPGA since bandwidth requirements are increasing rapidly and FPGAs are becoming bigger and bigger. However, FPGAs are becoming bigger and bigger the conventional soft logic to provide sufficient bandwidth is also growing rapid which are not achieved by the conventional techniques. Thus there is requirement of provide a combination of hardened logic and soft logic to provide a probability of achieving the requirements.


Also, once the hardened NoC is built over the FPGA, there is a need for mapping the traffic incoming and outgoing to a particular layer or merchant channel of within layer routing their needs a flexibility to choose route for the traffic (since there are multiple routes present).


In a NoC interconnect, if the traffic profile is not uniform and there is a certain amount of heterogeneity (e.g., certain hosts talking to each other more frequently than the others), the interconnect performance may depend on the NoC topology and where various hosts are placed in the topology with respect to each other and to what routers they are connected to. For example, if two hosts talk to each other frequently and require higher bandwidth than other interconnects, then they should be placed next to each other. This will reduce the latency for this communication which thereby reduces the global average latency, as well as reduce the number of router nodes and links over which the higher bandwidth of this communication must be provisioned.


Moving two hosts closer together may make certain other hosts far apart since all hosts must fit into the 2D planar NoC topology without overlapping with each other. Thus, various tradeoffs must be made and the hosts must be placed after examining the pair-wise bandwidth and latency requirements between all hosts so that certain global cost and performance metrics is optimized. The cost and performance metrics can be, for example, average structural latency between all communicating hosts in number of router hops, or sum of bandwidth between all pair of hosts and the distance between them in number of hops, or some combination of these two. This optimization problem is known to be NP-hard and heuristic based approaches are often used. The hosts in a system may vary in shape and sizes with respect to each other, which puts additional complexity in placing them in a 2D planar NoC topology, packing them optimally while leaving little whitespaces, and avoiding overlapping hosts.


The optimization approaches introduced so far to determine the channel capacity, routes, host positions, etc., are useful when the exact traffic profile is known in advance at the NoC design time. If the precise traffic profile is not known at the design time, and the traffic profile changes during the NoC operation based on the SoC application's requirements, then the NoC design must allow these adjustments. For the NoC to allow these changes, the NoC must be designed so that it has knowledge of the changes that may occur in the traffic profile in a given system and ensure that any combination of allowable traffic profiles are supported by the NoC hardware architecture.


Therefore, there exists a need for methods, systems, and computer readable mediums for overcoming the above-mentioned issues with existing implementations of generating topology for a given NoC. Further, there exists a need for methods, systems, and computer readable mediums for having a programmable fabric and a communication network integrated with the programmable fabric for high-speed data passing.


SUMMARY

Methods and example implementations described herein are generally directed to Field-Programmable Gate-Arrays (FPGAs) or other programmable logic devices (PLDs) or other devices based thereon, and more specifically, to the addition of networks-on-chip (NoC) to FPGAs to customize traffic and optimize performance. This includes both modifications to the FPGA architecture and design flow.


Aspects of the present application relate to methods, systems, and computer readable mediums for overcoming the above-mentioned issues with existing implementations of generating topology for a given NoC by significantly improving system efficiency by facilitating efficient creation of NoC designs utilizing existing or new circuit block information. The system and method provides a programmable fabric and a communication network integrated with the programmable fabric for high-speed data passing.


An aspect of the present application relates to a Field-Programmable Gate-Array (FPGA) system. The FPGA system can include an FPGA having one or more lookup tables (LUTs) and wires, and a Network-on-Chip (NoC) having a hardened network topology configured to provide connectivity at a higher frequency that the FPGA. The NoC is coupled to the FPGA to receive an profile information associated with an application, retrieve at least a characteristic, selected form any of combination of any or combination of a bandwidth requirement, latency requirement, protocol requirement and transactions, associated with the application from the profile information, generate at least one application traffic graph having mapping information based on the characteristic retrieved, and map the application traffic graph generated with into the FPGA using the hardened NoC.


In an aspect, while the hardened NoC is deployed in the FPGA, reconfiguring the hardened NoC in accordance with the application traffic graph. In another aspect, reconfiguring the hardened NoC comprises receiving mapping information for one or more characteristic associated with the application and transmitting the mapping information to the hardened NoC.


In an aspect, the application traffic graph includes a plurality of nodes indicative of representations of traffic associated with the application, wherein the plurality of nodes interacts based on bandwidth requirements, latency requirements, protocol requirements and transactions retrieved.


In an aspect, the mapping information comprises transaction assignments of one or more traffic profiles to each NoC layer in the hardened NoC and route assignments for each NoC layer in the hardened NoC.


In an aspect, the hardened NoC further determines all allowed subsets of the plurality of application traffic profiles, and determine a NoC configuration that is configured to support the determined all allowed subsets. In another aspect, the NoC configuration is further includes one or more physical channels, one or more virtual channels, one or more NoC layers, Quality of Service (QoS) parameters for each of the one or more physical channels and the one or more virtual channels, and weights for each of the one or more physical channels and the one or more virtual channels.


In an aspect, the application traffic graph is received from a user. In another aspect, the application traffic graph is generated based on the traffic profile fed to the FPGA system. In yet another aspect, the application traffic graph is generated based on one or more meta-data associated with one or more packet received by the FPGA system.


In an aspect, the system is further configured to determine at least a part of application graph to be mapped with the hardened NoC and at least a part of application graph to be mapped with the soft logic.


In an aspect, the NoC includes a mechanism for being configured by software to modify one or more functions associated with the NoC. In another aspect, the one or more functions of the NoC are associated with any of combination quality of service (QoS), priority, virtual channel (VC) allocation, rate limits, buffer sizing, layer/physical channel assignment.


In an aspect, the NoC includes virtual channel (VC) and physical layers allocated based at least on quality of service (QoS), latency, bandwidth requirements, number of inputs/outputs (I/Os), memories, soft intellectual properties (IPs) that are connected to the NoC.


In an aspect, the NoC includes one or more bridges configured to support multiple protocols.


In an aspect, the NoC includes at least a programmable decoding element to determine any or combination of a route, a layer and destination information from one or more messages transported over the NoC.


An aspect of the present application is to provide a method that include the steps of receiving an profile information associated with an application by a Network-on-Chip (NoC), retrieving at least a characteristic, selected from any or combination of a bandwidth requirement, latency requirement, protocol requirement and transactions, associated with the application from the profile information, generating at least one application traffic graph having mapping information based on the characteristic retrieved, and mapping the application traffic graph generated with into the FPGA using the hardened NoC.


In an aspect, while the hardened NoC is deployed in the FPGA, reconfiguring the hardened NoC in accordance with the application traffic graph. In another aspect, reconfiguring the hardened NoC comprises receiving mapping information for one or more characteristic associated with the application and transmitting the mapping information to the hardened NoC.


In an aspect, the application traffic graph includes a plurality of nodes indicative of representations of traffic associated with the application, wherein the plurality of nodes interacts based on bandwidth requirements, latency requirements, protocol requirements and transactions retrieved.


In an aspect, the mapping information comprises transaction assignments of one or more traffic profiles to each NoC layer in the hardened NoC and route assignments for each NoC layer in the hardened NoC.


In an aspect, the hardened NoC further determines all allowed subsets of the plurality of application traffic profiles, and determine a NoC configuration that is configured to support the determined all allowed subsets. In another aspect, the NoC configuration is further includes one or more physical channels, one or more virtual channels, one or more NoC layers, Quality of Service (QoS) parameters for each of the one or more physical channels and the one or more virtual channels, and weights for each of the one or more physical channels and the one or more virtual channels.


In an aspect, the application traffic graph is received from a user. In another aspect, the application traffic graph is generated based on the traffic profile fed to the FPGA system. In yet another aspect, the application traffic graph is generated based on one or more meta-data associated with one or more packet received by the FPGA system.


In an aspect, the method can further determine at least a part of application graph to be mapped with the hardened NoC and at least a part of application graph to be mapped with the soft logic.


In an aspect, the NoC includes a mechanism for being configured by software to modify one or more functions associated with the NoC. In another aspect, the one or more functions of the NoC are associated with any of combination quality of service (QoS), priority, virtual channel (VC) allocation, rate limits, buffer sizing, layer/physical channel assignment.


In an aspect, the NoC includes virtual channel (VC) and physical layers allocated based at least on quality of service (QoS), latency, bandwidth requirements, number of inputs/outputs (I/Os), memories, soft intellectual properties (IPs) that are connected to the NoC.


In an aspect, the NoC includes one or more bridges configured to support multiple protocols.


In an aspect, the NoC includes at least a programmable decoding element to determine any or combination of a route, a layer and destination information from one or more messages transported over the NoC.


An aspect of the present application relates to a non-transitory computer readable storage medium storing instructions for executing a process. The instructions include the steps of receiving an profile information associated with an application, retrieving at least a characteristic, selected from any or combination of a bandwidth requirement, latency requirement, protocol requirement and transactions, associated with the application from the profile information, generating at least one application traffic graph having mapping information based on the characteristic retrieved, and mapping the application traffic graph generated with into the FPGA using the hardened NoC.


The foregoing and other objects, features and advantages of the example implementations will be apparent and the following more particular descriptions of example implementations as illustrated in the accompanying drawings wherein like reference numbers generally represent like parts of exemplary implementations of the application.





BRIEF DESCRIPTION OF DRAWINGS


FIGS. 1A, 1B, 1C, and 1D illustrate examples of Bidirectional ring, 2D Mesh, 2D Torus, and 3D Mesh NoC Topologies.



FIG. 2A illustrates an example of XY routing in a related art two dimensional mesh.



FIG. 2B illustrates three different routes between a source and destination nodes.



FIG. 3A illustrates an example of a related art two layer NoC interconnect.



FIG. 3B illustrates the related art bridge logic between host and multiple NoC layers.



FIG. 4A illustrates a 1 Bit adder in FPGA.



FIG. 4B illustrates an FPGA comprising lookup tables (LUTs) and programmable wires.



FIG. 4C illustrates a flow diagram for connecting LUTs using programmable wires as shown in FIG. 4B.



FIG. 5 illustrates a Field-Programmable Gate-Array (FPGA) system having soft logic and hardened logic.



FIG. 6 illustrates exemplary flow diagram for the Field-Programmable Gate-Array (FPGA) system.



FIG. 7 illustrates an example computer system on which example implementations may be implemented.





DETAILED DESCRIPTION

The following detailed description provides further details of the figures and example implementations of the present application. Reference numerals and descriptions of redundant elements between figures are omitted for clarity. Terms used throughout the description are provided as examples and are not intended to be limiting. For example, the use of the term “automatic” may involve fully automatic or semi-automatic implementations involving user or administrator control over certain aspects of the implementation, depending on the desired implementation of one of ordinary skill in the art practicing implementations of the present application.


Network-on-Chip (NoC) has emerged as a paradigm to interconnect a large number of components on the chip. NoC is a global shared communication infrastructure made up of several routing nodes interconnected with each other using point-to-point physical links. In example implementations, a NoC interconnect is generated from a specification by utilizing design tools. The specification can include constraints such as bandwidth/Quality of Service (QoS)/latency attributes that is to be met by the NoC, and can be in various software formats depending on the design tools utilized. Once the NoC is generated through the use of design tools on the specification to meet the specification requirements, the physical architecture can be implemented either by manufacturing a chip layout to facilitate the NoC or by generation of a register transfer level (RTL) for execution on a chip to emulate the generated NoC, depending on the desired implementation. Specifications may be in common power format (CPF), Unified Power Format (UPF), or others according to the desired specification. Specifications can be in the form of traffic specifications indicating the traffic, bandwidth requirements, latency requirements, interconnections, etc. depending on the desired implementation. Specifications can also be in the form of power specifications to define power domains, voltage domains, clock domains, and so on, depending on the desired implementation.


Methods and example implementations described herein are generally directed to Field-Programmable Gate-Arrays (FPGAs) or other programmable logic devices (PLDs) or other devices based thereon, and more specifically, to the addition of networks-on-chip (NoC) to FPGAs to customize traffic and optimize performance. This includes both modifications to the FPGA architecture and design flow.


Aspects of the present application relate to methods, systems, and computer readable mediums for overcoming the above-mentioned issues with existing implementations of generating topology for a given NoC by significantly improving system efficiency by facilitating efficient creation of NoC designs utilizing existing or new circuit block information. The system and method provides a programmable fabric and a communication network integrated with the programmable fabric for high-speed data passing.


An aspect of the present application relates to a Field-Programmable Gate-Array (FPGA) system. The FPGA system can include an FPGA having one or more lookup tables (LUTs) and wires, and a Network-on-Chip (NoC) having a hardened network topology configured to provide connectivity at a higher frequency that the FPGA. The NoC is coupled to the FPGA to receive an profile information associated with an application, retrieve at least a characteristic, selected form any of combination of any or combination of a bandwidth requirement, latency requirement, protocol requirement and transactions, associated with the application from the profile information, generate at least one application traffic graph having mapping information based on the characteristic retrieved, and map the application traffic graph generated with into the FPGA using the hardened NoC.


In an aspect, while the hardened NoC is deployed in the FPGA, reconfiguring the hardened NoC in accordance with the application traffic graph. In another aspect, reconfiguring the hardened NoC comprises receiving mapping information for one or more characteristic associated with the application and transmitting the mapping information to the hardened NoC.


In an aspect, the application traffic graph includes a plurality of nodes indicative of representations of traffic associated with the application, wherein the plurality of nodes interacts based on bandwidth requirements, latency requirements, protocol requirements and transactions retrieved.


In an aspect, the mapping information comprises transaction assignments of one or more traffic profiles to each NoC layer in the hardened NoC and route assignments for each NoC layer in the hardened NoC.


In an aspect, the hardened NoC further determines all allowed subsets of the plurality of application traffic profiles, and determine a NoC configuration that is configured to support the determined all allowed subsets. In another aspect, the NoC configuration is further includes one or more physical channels, one or more virtual channels, one or more NoC layers, Quality of Service (QoS) parameters for each of the one or more physical channels and the one or more virtual channels, and weights for each of the one or more physical channels and the one or more virtual channels.


In an aspect, the application traffic graph is received from a user. In another aspect, the application traffic graph is generated based on the traffic profile fed to the FPGA system. In yet another aspect, the application traffic graph is generated based on one or more meta-data associated with one or more packet received by the FPGA system.


In an aspect, the system is further configured to determine at least a part of application graph to be mapped with the hardened NoC and at least a part of application graph to be mapped with the soft logic.


In an aspect, the NoC includes a mechanism for being configured by software to modify one or more functions associated with the NoC. In another aspect, the one or more functions of the NoC are associated with any of combination quality of service (QoS), priority, virtual channel (VC) allocation, rate limits, buffer sizing, layer/physical channel assignment.


In an aspect, the NoC includes virtual channel (VC) and physical layers allocated based at least on quality of service (QoS), latency, bandwidth requirements, number of inputs/outputs (I/Os), memories, soft intellectual properties (IPs) that are connected to the NoC.


In an aspect, the NoC includes one or more bridges configured to support multiple protocols.


In an aspect, the NoC includes at least a programmable decoding element to determine any or combination of a route, a layer and destination information from one or more messages transported over the NoC.


An aspect of the present application is to provide a method that include the steps of receiving an profile information associated with an application by a Network-on-Chip (NoC), retrieving at least a characteristic, selected from any or combination of a bandwidth requirement, latency requirement, protocol requirement and transactions, associated with the application from the profile information, generating at least one application traffic graph having mapping information based on the characteristic retrieved, and mapping the application traffic graph generated with into the FPGA using the hardened NoC.


In an aspect, while the hardened NoC is deployed in the FPGA, reconfiguring the hardened NoC in accordance with the application traffic graph. In another aspect, reconfiguring the hardened NoC comprises receiving mapping information for one or more characteristic associated with the application and transmitting the mapping information to the hardened NoC.


In an aspect, the application traffic graph includes a plurality of nodes indicative of representations of traffic associated with the application, wherein the plurality of nodes interacts based on bandwidth requirements, latency requirements, protocol requirements and transactions retrieved.


In an aspect, the mapping information comprises transaction assignments of one or more traffic profiles to each NoC layer in the hardened NoC and route assignments for each NoC layer in the hardened NoC.


In an aspect, the hardened NoC further determines all allowed subsets of the plurality of application traffic profiles, and determine a NoC configuration that is configured to support the determined all allowed subsets. In another aspect, the NoC configuration is further includes one or more physical channels, one or more virtual channels, one or more NoC layers, Quality of Service (QoS) parameters for each of the one or more physical channels and the one or more virtual channels, and weights for each of the one or more physical channels and the one or more virtual channels.


In an aspect, the application traffic graph is received from a user. In another aspect, the application traffic graph is generated based on the traffic profile fed to the FPGA system. In yet another aspect, the application traffic graph is generated based on one or more meta-data associated with one or more packet received by the FPGA system.


In an aspect, the system is further configured to determine at least a part of application graph to be mapped with the hardened NoC and at least a part of application graph to be mapped with the soft logic.


In an aspect, the NoC includes a mechanism for being configured by software to modify one or more functions associated with the NoC. In another aspect, the one or more functions of the NoC are associated with any of combination quality of service (QoS), priority, virtual channel (VC) allocation, rate limits, buffer sizing, layer/physical channel assignment.


In an aspect, the NoC includes virtual channel (VC) and physical layers allocated based at least on quality of service (QoS), latency, bandwidth requirements, number of inputs/outputs (I/Os), memories, soft intellectual properties (IPs) that are connected to the NoC.


In an aspect, the NoC includes one or more bridges configured to support multiple protocols.


In an aspect, the NoC includes at least a programmable decoding element to determine any or combination of a route, a layer and destination information from one or more messages transported over the NoC.


An aspect of the present application relates to a non-transitory computer readable storage medium storing instructions for executing a process. The instructions include the steps of receiving an profile information associated with an application, retrieving at least a characteristic, selected from any or combination of a bandwidth requirement, latency requirement, protocol requirement and transactions, associated with the application from the profile information, generating at least one application traffic graph having mapping information based on the characteristic retrieved, and mapping the application traffic graph generated with into the FPGA using the hardened NoC.


The present application provides devices having a programmable fabric and a communication network integrated with the programmable fabric for high-speed data passing.


According to an example implementation, an FPGA incorporates one or more programmable NoCs or NoC components integrated within the FPGA fabric. In one example implementation, the NoC is used as system-level interconnect to connect computer and communication modules to one another and integrate large systems on the FPGA. The FPGA design flow is altered to target the NoC components either manually through designer intervention, or automatically. The computation and communication modules may be either constructed out of the FPGA's logic blocks block Random Access Memory (RAM) modules, multipliers, processor cores, input/output (I/O) controllers, I/O ports or any other computation or communication modules that can be found on FPGAs or heterogeneous devices based thereon.


The NoC or NoCs added to the FPGA involve routers and links, and optionally fabric ports. Routers refer to any circuitry that switches and optionally buffers data from one port to another. NoC routers may involve, but are not limited to, any of the following: crossbars, buffered crossbars, circuit-switched routers or packet-switched routers. Links are the connections between routers. In one example implementation NoC links are constructed out of the conventional FPGA interconnect which can involve different-length wire segments and multiplexers. In another example implementation, NoC links can involve dedicated metal wiring between two router ports. Both example implementations of the NoC links may include buffers or pipeline registers. The fabric port connects the NoC to the FPGA fabric and thus performs two key bridging functions. The first function of the fabric port is width adaptation between the computation or communication module and the NoC. In one example implementation, this is implemented as a multiplexer, a demultiplexer and a counter to perform time-domain multiplexing (TDM) and demultiplexing. The second function is clock-domain crossing; in one example implementation this is implemented as an asynchronous first-in first-out (FIFO) queue. Although the NoC targets digital electronic systems, all or parts of the presented NoC can be replaced using an optical network on chip. The NoC can also be implemented on a separate die in a 3D die stack.


Changes to the FPGA design flow to target NoCs may be divided into two categories; logical design and physical design. The logical design step concerns the functional design of the implemented system. In the logical design step all or part of the designed system is made latency-insensitive by adding wrappers to the modules. The logical design step also includes generating the required interfaces to connect modules to a NoC and programming the NoC for use. Programming the NoC includes, but is not limited to the following: configuring the routers, assigning priorities to data classes, assigning virtual channels to data classes and specifying the routes taken through the NoC. The physical design flow then implements the output of the logical design step on physical circuitry. It includes mapping computation and communication modules to NoC routers, and floor planning the mentioned modules onto the FPGA device. Together, these architecture and design flow changes due to the addition of NoCs to FPGAs will raise the level of abstraction of system-level communication, making design integration of large systems simpler and more automated and making system-level interconnect more efficient.


In an example implementation, a field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing—hence “field-programmable”. The FPGA configuration is generally specified using a hardware description language (HDL), similar to that used for an application-specific integrated circuit (ASIC). (Circuit diagrams were previously used to specify the configuration, as they were for ASICs, but this is increasingly rare.)


FPGAs contain an array of programmable logic blocks, and a hierarchy of reconfigurable interconnects that allow the blocks to be “wired together”, like many logic gates that can be inter-wired in different configurations. Logic blocks can be configured to perform complex combinational functions, or logic gates such as AND, XOR, and so on. In most FPGAs, logic blocks also include memory elements, which may be simple flip-flops or more complete blocks of memory.


FPGA includes a Lookup table (LUT) having bunch of inputs and bunch of outputs, wherein both inputs and outputs are programmable. Basically, one can configure input and output to achieve a specific/desired functioning. For example, if 1 Bit adder logic is to be implemented then there are four different logics i.e., (0, 0), (0, 1), (1, 0), (1, 1) and four different outputs. FIG. 4A400 illustrates a 1 Bit adder in FPGA.


In an example implementation, the One-bit Full-Adder (FA) is used widely in systems with operations such as counter, addition, subtraction, multiplication and division etc. It is the basic core component of Arithmetic-Logic-Unit (ALU). Thus, the innovation and acceleration of FA means that the speed of the Central-Processor-Unit (CPU) and the speed of the whole system in general are accelerated. FA is a basic cell in the CPU and is so fundamental that changes to it are difficult to make. However, this cannot prevent researchers to try to increase the speed for FA.


In order to create one bit FA in the traditional methods, two's component gate must be used. This makes the circuit more complex, and when there is a subtraction of n bits, there should be an addition of n XOR gates. The FPGA device is becoming increasing popular, and the acceleration of the multiplexer and improvement in FPGA allow the configuration of the Look Up Table (LUT) in FPGA that functions as a memory or a logic functions. This especially allows the formation of many small LUT's inside a big LUT. New designs have the aim to increase the speed of FA based on LUT and Multiplexer.


Thus, FPGA works at the logic and tries to program the logic in the LUT by just exhaustively listing all the possible inputs and all the possible outputs. However, in a real system, there are many complex and many functionalities that need to be performed. Thus, multiple LUTs need to be internally connected to able to achieve multiple functions. However, to provide these connections in functionalities (programmable connections) there is a requirement of programmable set of wires.


For example, at FPGA there can be many LUTs (e.g., hundreds of millions) and can also involve plurality of wires, grids of wires and cross-points of wires that needs to be programmed and connected to work in sync with each other. Thus, there are needs for connecting multiple small logics together via, LUTs. Thus, the present invention provides a mechanism which enables to connect these FPGA's by way programming. FIG. 4B430 illustrates an FPGA comprising lookup tables (LUTs) and programmable wires.


In an example implementation, as shown, LUT1 432 and LUT2 434 can be connected using programmable wires (cross-points) 436 to achieve connection to work in sync with each other.



FIG. 4C
460 illustrates a flow diagram for connecting LUTs using programmable wires as shown in FIG. 4B. In order to connect plurality of LUTs using programmable wires, the present application at step 462, enables FPGA to receive Verilog, VHDL, C++ etc logics as inputs. At step 464, the FPGA compiles/elaborates the logic. At step 466, the FPGA divides the logic in smaller size/pieces. At step 468, the FPGA map slices to different LUTs. At step 470, the different LUTs are connected using wire programming.


However, while connecting the LUTs and programmable wires, there is a need to determine how many size/pieces of the logic are to be made, as well as how many connections are needed. If the size/pieces are too large then the LUT mapping may not be possible. One of the biggest obstacles is that LUTs may be upgraded/programmed with high frequencies. However, the wires are normally not upgraded/programmed with high frequencies.


Thus, the LUT and wires implement soft logic since it is programmable and are provided with less transparency and low frequency.


Example implementations described herein facilitate communication, which is required in FPGA, by packetizing the communication and can be transported over a hardened network voucher that is present in FPGA along with the soft logic. The example implementations facilitate the achievement of hardened logic (non-re-programmable) based on the soft logic. Such implementations can achieve a benefit that it has much high frequency which is achieved by low latency and higher bandwidth for the same number of wires.


FPGAs are embedded/incorporated with NoCs wherein the NoCs give an ability to transfer packets from one point to other point.


Also, once the Hardened NoC is built over the FPGA there is a need for mapping the traffic incoming and outgoing to a particular layer or merchant channel of within layer routing their needs a flexibility to choose route for the traffic (since there are multiple routes present). The flow can have different requirements in terms of bandwidth or burstiness etc. Hardened NoC can have layer flexibility, VC flexibility, and route flexibility. Application Mapping indicates how these layers, VCs and routes used for a particular application efficiently and meeting all the requirements and constraints of the system.


Referring now to FIG. 5 a Field-Programmable Gate-Array (FPGA) 500 system having soft logic and hardened logic is illustrated. As shown, a soft logic can be implemented using programmable wires (cross-point) 502 and a hardened logic can be implemented using hardened NoC 504. The input packet entering in a FPGA 500 recited as “input packet in a specific protocol format” can be routed either through the programmable wires (cross-point) 502 or through the hardened NoC 504 to generate an output in the form of packet recited as “input packet in same specific protocol format”.


In an example implementation, the inputs can be received by from Ethernet interface, Peripheral Component Interconnect (PCI) interface, Serializer/Deserializer (SerDes) interface, and the like.


In an example implementation, the input received can be in a particular specific protocol format having source and destination information which can directly routed to the destination without any alteration in the particular specific protocol format using a hardened network topology of the NoC. In another example implementation, the input received can be a particular specific protocol format having source but no destination information, cannot be directly routed to the destination but through using soft logic (cross-connection) and needs to be analyzed and then without any alteration in the particular specific protocol format routed to its destination.


In an example implementation, the packets coming in FPGA and going out are in the form of messages so they are suitable candidate over the hard NoC. The packets inside FPGA core assessing the memory can also be routed over the NoC.


In an example implementation, the present application allows the system to decide which packets are to be sent to NoC and which needs to be routed through FPGA. The packets which are in the form of messages and which has fixed source destination or rout to be followed can be routed through the NoC. More specifically, the messages which have specific details and destination are far away from each other passes through the NoC.


In an example implementation, in NoC there are bridges along with other sub-components. The bridges are used for receiving packets and convert the packet into NoC protocol format. Those bridges also have some cost for example in terms of area.


In an example implementation, a cost of a NoC is compared with the cost of a soft logic and if it is much greater than that of soft logic the NoC are not much beneficial.


In an example implementation, bridges in the NoC are provided to support certain protocols. The bridges included in the NoC can have 4 exemplary design choices. First exemplary design choice is a superset bridge that can support all the protocols however such bridge is excessively large and not cost effective. Second exemplary design choice is a bridge which can be built based on the requirements/compatibility. The soft logic in this type is aware about the placement of the bridges to satisfy the requirements of sufficiency of the bridges for communications. Third exemplary design choice is to not hardened at all but to have bridges that includes only soft logic. However, even if NoC is operating at higher frequency, the bridges may run at lower frequency. Fourth exemplary design choice for bridges is to divide bridges in protocol part and packet switching part so packet switching can be hardened and protocol part can be soft switching which may not give you ideal design but can provide a descent achievable performance.


In an example implementation, the topology for NoC depends on plurality of factors. Few of the exemplary factors can include but are not limited to types of applications that are being performed using the FPGA. For example, applications functionality can be examined to decide topology based on data/traffic flow for applications, message sizes, functions of the applications, distance of the applications etc.



FIG. 6
600 illustrates an example flow diagram for the Field-Programmable Gate-Array (FPGA) system. The method at step 602 receives profile information associated with an application by a Network-on-Chip (NoC), at step 604 retrieves at least a characteristic, selected from any one or any combination of a bandwidth requirement, latency requirement, protocol requirement and transactions, associated with the application from the profile information, at step 606 generates at least one application traffic graph having mapping information based on the characteristic retrieved, and at step 608 maps the application traffic graph generated with into the FPGA using the hardened NoC.


In an aspect, while the hardened NoC is deployed in the FPGA, reconfiguring the hardened NoC in accordance with the application traffic graph. In another aspect, reconfiguring the hardened NoC comprises receiving mapping information for one or more characteristic associated with the application and transmitting the mapping information to the hardened NoC.


In an aspect, the application traffic graph includes a plurality of nodes indicative of representations of traffic associated with the application, wherein the plurality of nodes interacts based on bandwidth requirements, latency requirements, protocol requirements and transactions retrieved.


In an aspect, the mapping information comprises transaction assignments of one or more traffic profiles to each NoC layer in the hardened NoC and route assignments for each NoC layer in the hardened NoC.


In an aspect, the hardened NoC further determines all allowed subsets of the plurality of application traffic profiles, and determine a NoC configuration that is configured to support the determined all allowed subsets. In another aspect, the NoC configuration is further includes one or more physical channels, one or more virtual channels, one or more NoC layers, Quality of Service (QoS) parameters for each of the one or more physical channels and the one or more virtual channels, and weights for each of the one or more physical channels and the one or more virtual channels.


In an aspect, the application traffic graph is received from a user. In another aspect, the application traffic graph is generated based on the traffic profile fed to the FPGA system. In yet another aspect, the application traffic graph is generated based on one or more meta-data associated with one or more packet received by the FPGA system.


In an aspect, the method can further determine at least a part of application graph to be mapped with the hardened NoC and at least a part of application graph to be mapped with the soft logic.


In an aspect, the NoC includes a mechanism for being configured by software to modify one or more functions associated with the NoC. In another aspect, the one or more functions of the NoC are associated with any of combination quality of service (QoS), priority, virtual channel (VC) allocation, rate limits, buffer sizing, layer/physical channel assignment.


In an aspect, the NoC includes virtual channel (VC) and physical layers allocated based at least on quality of service (QoS), latency, bandwidth requirements, number of inputs/outputs (I/Os), memories, soft intellectual properties (IPs) that are connected to the NoC.


In an aspect, the NoC includes one or more bridges configured to support multiple protocols.


In an aspect, the NoC includes at least a programmable decoding element to determine any or combination of a route, a layer and destination information from one or more messages transported over the NoC.



FIG. 7 illustrates an example computer system on which example implementations may be implemented. This example system is merely illustrative, and other modules or functional partitioning may therefore be substituted as would be understood by those skilled in the art. Further, this system may be modified by adding, deleting, or modifying modules and operations without departing from the scope of the inventive concept.


In an aspect, computer system 700 includes a server 702 that may involve an I/O unit 714, storage 716, and a processor 704 operable to execute one or more units as known to one skilled in the art. The term “computer-readable medium” as used herein refers to any medium that participates in providing instructions to processor 704 for execution, which may come in the form of computer-readable storage mediums, such as, but not limited to optical disks, magnetic disks, read-only memories, random access memories, solid state devices and drives, or any other types of tangible media suitable for storing electronic information, or computer-readable signal mediums, which can include transitory media such as carrier waves. The I/O unit processes input from user interfaces 718 and operator interfaces 720 which may utilize input devices such as a keyboard, mouse, touch device, or verbal command.


The server 702 may also be connected to an external storage 722, which can contain removable storage such as a portable hard drive, optical media (CD or DVD), disk media or any other medium from which a computer can read executable code. The server may also be connected an output device 724, such as a display to output data and other information to a user, as well as request additional information from a user. The connections from the server 702 to the user interface 718, the operator interface 720, the external storage 722, and the output device 724 may via wireless protocols, such as the 802.11 standards, Bluetooth® or cellular protocols, or via physical transmission media, such as cables or fiber optics. The output device 724 may therefore further act as an input device for interacting with a user.


The processor 704 may execute one or more modules including includes a receiving module 706 receive an profile information associated with an application, a retrieving module 708 to retrieve at least a characteristic, selected form any of combination of any or combination of a bandwidth requirement, latency requirement, protocol requirement and transactions, associated with the application from the profile information, a generating module 710 to generate at least one application traffic graph having mapping information based on the characteristic retrieved, and a mapping module 712 to map the application traffic graph generated with into the FPGA using the hardened NoC.


In an aspect, while the hardened NoC is deployed in the FPGA, reconfiguring the hardened NoC in accordance with the application traffic graph. In another aspect, reconfiguring the hardened NoC comprises receiving mapping information for one or more characteristic associated with the application and transmitting the mapping information to the hardened NoC.


In an aspect, the application traffic graph includes a plurality of nodes indicative of representations of traffic associated with the application, wherein the plurality of nodes interacts based on bandwidth requirements, latency requirements, protocol requirements and transactions retrieved.


In an aspect, the mapping information comprises transaction assignments of one or more traffic profiles to each NoC layer in the hardened NoC and route assignments for each NoC layer in the hardened NoC.


In an aspect, the hardened NoC further determines all allowed subsets of the plurality of application traffic profiles, and determine a NoC configuration that is configured to support the determined all allowed subsets. In another aspect, the NoC configuration is further includes one or more physical channels, one or more virtual channels, one or more NoC layers, Quality of Service (QoS) parameters for each of the one or more physical channels and the one or more virtual channels, and weights for each of the one or more physical channels and the one or more virtual channels.


In an aspect, the application traffic graph is received from a user. In another aspect, the application traffic graph is generated based on the traffic profile fed to the FPGA system. In yet another aspect, the application traffic graph is generated based on one or more meta-data associated with one or more packet received by the FPGA system.


In an aspect, the system is further configured to determine at least a part of application graph to be mapped with the hardened NoC and at least a part of application graph to be mapped with the soft logic.


In an aspect, the NoC includes a mechanism for being configured by software to modify one or more functions associated with the NoC. In another aspect, the one or more functions of the NoC are associated with any of combination quality of service (QoS), priority, virtual channel (VC) allocation, rate limits, buffer sizing, layer/physical channel assignment.


In an aspect, the NoC includes virtual channel (VC) and physical layers allocated based at least on quality of service (QoS), latency, bandwidth requirements, number of inputs/outputs (I/Os), memories, soft intellectual properties (IPs) that are connected to the NoC.


In an aspect, the NoC includes one or more bridges configured to support multiple protocols.


In an aspect, the NoC includes at least a programmable decoding element to determine any or combination of a route, a layer and destination information from one or more messages transported over the NoC.


It may be appreciated that, the nodes of the application graph are floating since the positions of the nodes are not specified in FPGA all the time. For examples, cores are not frozen.


It may also be appreciated that, there is also a requirement of application load balancing in automated manner. Thus, the present application enables to decide mapping, however once mapping is decided, it is required to program those mapping in the IOs of the FPGA. Therefore there is requirement of hardware built in FPGA which is programmable so that the mapping can be performed when FPGA is deployed.


Unless specifically stated otherwise, as apparent from the discussion, it is appreciated that throughout the description, discussions utilizing terms such as “processing,” “computing,” “calculating,” “determining,” “displaying,” or the like, can include the actions and processes of a computer system or other information processing device that manipulates and transforms data represented as physical (electronic) quantities within the computer system's registers and memories into other data similarly represented as physical quantities within the computer system's memories or registers or other information storage, transmission or display devices.


Example implementations may also relate to an apparatus for performing the operations herein. This apparatus may be specially constructed for the required purposes, or it may include one or more general-purpose computers selectively activated or reconfigured by one or more computer programs. Such computer programs may be stored in a computer readable medium, such as a computer-readable storage medium or a computer-readable signal medium. A computer-readable storage medium may involve tangible mediums such as, but not limited to optical disks, magnetic disks, read-only memories, random access memories, solid state devices and drives, or any other types of tangible or non-transitory media suitable for storing electronic information. A computer readable signal medium may include mediums such as carrier waves. The algorithms and displays presented herein are not inherently related to any particular computer or other apparatus. Computer programs can involve pure software implementations that involve instructions that perform the operations of the desired implementation.


Various general-purpose systems may be used with programs and modules in accordance with the examples herein, or it may prove convenient to construct a more specialized apparatus to perform desired method steps. In addition, the example implementations are not described with reference to any particular programming language. It will be appreciated that a variety of programming languages may be used to implement the teachings of the example implementations as described herein. The instructions of the programming language(s) may be executed by one or more processing devices, e.g., central processing units (CPUs), processors, or controllers.


As is known in the art, the operations described above can be performed by hardware, software, or some combination of software and hardware. Various aspects of the example implementations may be implemented using circuits and logic devices (hardware), while other aspects may be implemented using instructions stored on a machine-readable medium (software), which if executed by a processor, would cause the processor to perform a method to carry out implementations of the present application. Further, some example implementations of the present application may be performed solely in hardware, whereas other example implementations may be performed solely in software. Moreover, the various functions described can be performed in a single unit, or can be spread across a number of components in any number of ways. When performed by software, the methods may be executed by a processor, such as a general purpose computer, based on instructions stored on a computer-readable medium. If desired, the instructions can be stored on the medium in a compressed and/or encrypted format.


Moreover, other implementations of the present application will be apparent to those skilled in the art from consideration of the specification and practice of the example implementations disclosed herein. Various aspects and/or components of the described example implementations may be used singly or in any combination. It is intended that the specification and examples be considered as examples, with a true scope and spirit of the application being indicated by the following claims.

Claims
  • 1. A Field-Programmable Gate-Array (FPGA) system, comprising: an FPGA comprising one or more lookup tables (LUTs) and wires; anda Network-on-Chip (NoC), coupled to the FPGA, comprising a hardened network topology configured to provide connectivity at a higher frequency than the FPGA, wherein the NoC is configured to: receive profile information associated with an application;retrieve at least a characteristic associated with the application from the profile information, wherein the characteristic is one or a combination of a bandwidth requirement, latency requirement, protocol requirement and transactions;generate at least one application traffic graph having mapping information based on the retrieved characteristic; andmap the generated application traffic graph into the FPGA using the hardened NoC, wherein the NoC comprises a mechanism to be configured by software to modify one or more functions associated with the NoC, wherein the one or more functions of the NoC are associated with any of combination quality of service (QoS), priority, virtual channel (VC) allocation, rate limits, buffer sizing, layer/physical channel assignment.
  • 2. The FPGA system of claim 1, wherein while the hardened NoC is deployed in the FPGA, the hardened NoC is reconfigured in accordance with the application traffic graph.
  • 3. The FPGA system of claim 2, wherein the hardened NoC is reconfigured through receiving at the FPGA system, mapping information for one or more characteristic associated with the application and transmitting the mapping information to the hardened NoC.
  • 4. The FPGA system of claim 1, wherein the application traffic graph comprises a plurality of nodes indicative of representations of traffic associated with the application, wherein the plurality of nodes interact based on bandwidth requirements, latency requirements, protocol requirements and transactions retrieved.
  • 5. The FPGA system of claim 1, wherein the mapping information comprises transaction assignments of one or more traffic profiles to each NoC layer in the hardened NoC and route assignments for each NoC layer in the hardened NoC.
  • 6. The FPGA system of claim 1, wherein the hardened NoC is configured to: determine all allowed subsets of the plurality of application traffic profiles; anddetermine a NoC configuration that is configured to support the determined all allowed subsets, wherein the NoC configuration further comprises:one or more physical channels;one or more virtual channels; and one or more NoC layers;wherein the hardened NoC is configured to utilize quality of Service (QoS) parameters for each of the one or more physical channels and the one or more virtual channels; and weights for each of the one or more physical channels and the one or more virtual channels.
  • 7. The FPGA system of claim 1, wherein the application traffic graph is received from a user.
  • 8. The FPGA system of claim 1, wherein the application traffic graph is generated based on the traffic profile fed to the FPGA system.
  • 9. The FPGA system of claim 1, wherein the application traffic graph is generated based on one or more meta-data associated with one or more packet received by the FPGA system.
  • 10. The FPGA system of claim 1 further configured to determine at least a part of application graph to be mapped with the hardened NoC and at least a part of application graph to be mapped with the soft logic.
  • 11. The FPGA system of claim 1, wherein the NoC comprises virtual channel (VC) and physical layers allocated based at least on quality of service (QoS), latency, bandwidth requirements, number of inputs/outputs (I/Os), memories, soft intellectual properties (IPs) that are connected to the NoC.
  • 12. The FPGA system of claim 1, wherein the NoC comprises one or more bridges configured to support multiple protocols.
  • 13. The FPGA system of claim 1, wherein the NoC comprises at least a programmable decoding element to determine any or combination of a route, a layer and destination information from one or more messages transported over the NoC.
  • 14. A Field-Programmable Gate-Array (FPGA) system, comprising: an FPGA comprising one or more lookup tables (LUTs) and wires; anda Network-on-Chip (NoC), coupled to the FPGA, comprising a hardened network topology configured to provide connectivity at a higher frequency than the FPGA, wherein the NoC is configured to: receive profile information associated with an application;retrieve at least a characteristic associated with the application from the profile information, wherein the characteristic is one or a combination of a bandwidth requirement, latency requirement, protocol requirement and transactions;generate at least one application traffic graph having mapping information based on the retrieved characteristic; andmap the generated application traffic graph into the FPGA using the hardened NoC,wherein the hardened NoC is configured to: determine all allowed subsets of the plurality of application traffic profiles; anddetermine a NoC configuration that is configured to support the determined all allowed subsets.
  • 15. The FPGA system of claim 14, wherein while the hardened NoC is deployed in the FPGA, the hardened NoC is reconfigured in accordance with the application traffic graph.
  • 16. The FPGA system of claim 15, wherein the hardened NoC is reconfigured through receiving at the FPGA system, mapping information for one or more characteristic associated with the application and transmitting the mapping information to the hardened NoC.
  • 17. The FPGA system of claim 14, wherein the application traffic graph comprises a plurality of nodes indicative of representations of traffic associated with the application, wherein the plurality of nodes interact based on bandwidth requirements, latency requirements, protocol requirements and transactions retrieved.
  • 18. The FPGA system of claim 14, wherein the mapping information comprises transaction assignments of one or more traffic profiles to each NoC layer in the hardened NoC and route assignments for each NoC layer in the hardened NoC.
  • 19. The FPGA system of claim 14, wherein the NoC comprises a mechanism to be configured by software to modify one or more functions associated with the NoC.
  • 20. The FPGA system of claim 19, wherein the one or more functions of the NoC are associated with any of combination quality of service (QoS), priority, virtual channel (VC) allocation, rate limits, buffer sizing, layer/physical channel assignment.
CROSS REFERENCE TO RELATED APPLICATION

This U.S. patent application is based on and claims the benefit of domestic priority under 35 U.S.C 119(e) from provisional U.S. patent application No. 62/634,587, filed on Feb. 23, 2018, the disclosure of which is hereby incorporated by reference herein in its entirety.

US Referenced Citations (400)
Number Name Date Kind
4409838 Schomberg Oct 1983 A
4933933 Dally et al. Jun 1990 A
5105424 Flaig et al. Apr 1992 A
5163016 Har'El et al. Nov 1992 A
5355455 Hilgendorf et al. Oct 1994 A
5432785 Ahmed et al. Jul 1995 A
5563003 Suzuki et al. Oct 1996 A
5583990 Birrittella et al. Dec 1996 A
5588152 Dapp et al. Dec 1996 A
5764740 Holender Jun 1998 A
5790554 Pitcher et al. Aug 1998 A
5859981 Levin et al. Jan 1999 A
5991308 Fuhrmann et al. Nov 1999 A
5999530 LeMaire et al. Dec 1999 A
6003029 Agrawal et al. Dec 1999 A
6029220 Iwamura et al. Feb 2000 A
6058385 Koza et al. May 2000 A
6101181 Passint et al. Aug 2000 A
6108739 James et al. Aug 2000 A
6249902 Igusa et al. Jun 2001 B1
6314487 Hahn et al. Nov 2001 B1
6377543 Grover et al. Apr 2002 B1
6415282 Mukherjea et al. Jul 2002 B1
6674720 Passint et al. Jan 2004 B1
6701361 Meier Mar 2004 B1
6711717 Nystrom et al. Mar 2004 B2
6778531 Kodialam et al. Aug 2004 B1
6925627 Longway et al. Aug 2005 B1
6967926 Williams, Jr. et al. Nov 2005 B1
6983461 Hutchison et al. Jan 2006 B2
7046633 Carvey May 2006 B2
7065730 Alpert et al. Jun 2006 B2
7143221 Bruce et al. Nov 2006 B2
7318214 Prasad et al. Jan 2008 B1
7379424 Krueger May 2008 B1
7437518 Tsien Oct 2008 B2
7461236 Wentzlaff Dec 2008 B1
7509619 Miller et al. Mar 2009 B1
7564865 Radulescu Jul 2009 B2
7583602 Bejerano et al. Sep 2009 B2
7590959 Tanaka Sep 2009 B2
7693064 Thubert et al. Apr 2010 B2
7701252 Chow et al. Apr 2010 B1
7724735 Locatelli et al. May 2010 B2
7725859 Lenahan et al. May 2010 B1
7774783 Toader Aug 2010 B2
7808968 Kalmanek, Jr. et al. Oct 2010 B1
7853774 Wentzlaff Dec 2010 B1
7917885 Becker Mar 2011 B2
7957381 Clermidy et al. Jun 2011 B2
7973804 Mejdrich et al. Jul 2011 B2
8018249 Koch et al. Sep 2011 B2
8020163 Nollet et al. Sep 2011 B2
8020168 Hoover et al. Sep 2011 B2
8050256 Bao et al. Nov 2011 B1
8059551 Milliken Nov 2011 B2
8098677 Pleshek et al. Jan 2012 B1
8099757 Riedle et al. Jan 2012 B2
8136071 Solomon Mar 2012 B2
8203938 Gibbings Jun 2012 B2
8261025 Mejdrich et al. Sep 2012 B2
8281297 Dasu et al. Oct 2012 B2
8306042 Abts Nov 2012 B1
8312402 Okhmatovski et al. Nov 2012 B1
8352774 Elrabaa Jan 2013 B2
8407425 Gueron et al. Mar 2013 B2
8412795 Mangano et al. Apr 2013 B2
8438578 Hoover et al. May 2013 B2
8448102 Kornachuk et al. May 2013 B2
8490110 Hoover et al. Jul 2013 B2
8492886 Or-Bach et al. Jul 2013 B2
8503445 Lo Aug 2013 B2
8514889 Jayasimha Aug 2013 B2
8541819 Or-Bach et al. Sep 2013 B1
8543964 Ge et al. Sep 2013 B2
8572353 Bratt et al. Oct 2013 B1
8601423 Philip et al. Dec 2013 B1
8614955 Gintis et al. Dec 2013 B2
8619622 Harrand et al. Dec 2013 B2
8635577 Kazda et al. Jan 2014 B2
8661455 Mejdrich et al. Feb 2014 B2
8667439 Kumar et al. Mar 2014 B1
8705368 Abts et al. Apr 2014 B1
8711867 Guo et al. Apr 2014 B2
8717875 Bejerano et al. May 2014 B2
8726295 Hoover et al. May 2014 B2
8738860 Griffin et al. May 2014 B1
8793644 Michel et al. Jul 2014 B2
8798038 Jayasimha et al. Aug 2014 B2
8819611 Philip et al. Aug 2014 B2
8885510 Kumar et al. Nov 2014 B2
9210048 Marr et al. Dec 2015 B1
9223711 Philip et al. Dec 2015 B2
9244845 Rowlands et al. Jan 2016 B2
9244880 Philip et al. Jan 2016 B2
9253085 Kumar et al. Feb 2016 B2
9294354 Kumar Mar 2016 B2
9319232 Kumar Apr 2016 B2
9444702 Raponi et al. Sep 2016 B1
9471726 Kumar et al. Oct 2016 B2
9473359 Kumar et al. Oct 2016 B2
9473388 Kumar et al. Oct 2016 B2
9473415 Kumar Oct 2016 B2
9477280 Gangwar et al. Oct 2016 B1
9529400 Kumar et al. Dec 2016 B1
9535848 Rowlands et al. Jan 2017 B2
9568970 Kaushal et al. Feb 2017 B1
9569579 Kumar Feb 2017 B1
9571341 Kumar et al. Feb 2017 B1
9571402 Kumar et al. Feb 2017 B2
9571420 Kumar Feb 2017 B2
9590813 Kumar et al. Mar 2017 B1
9660942 Kumar May 2017 B2
9699079 Chopra et al. Jul 2017 B2
9742630 Philip et al. Aug 2017 B2
20020071392 Grover et al. Jun 2002 A1
20020073380 Cooke et al. Jun 2002 A1
20020083159 Ward et al. Jun 2002 A1
20020095430 Egilsson et al. Jul 2002 A1
20020150094 Cheng et al. Oct 2002 A1
20030005149 Haas et al. Jan 2003 A1
20030088602 Dutta et al. May 2003 A1
20030145314 Nguyen et al. Jul 2003 A1
20030200315 Goldenberg et al. Oct 2003 A1
20040006584 Vandeweerd Jan 2004 A1
20040019814 Pappalardo et al. Jan 2004 A1
20040049565 Keller et al. Mar 2004 A1
20040103218 Blumrich et al. May 2004 A1
20040156376 Nakagawa Aug 2004 A1
20040156383 Nakagawa et al. Aug 2004 A1
20040216072 Alpert et al. Oct 2004 A1
20050147081 Acharya et al. Jul 2005 A1
20050203988 Nollet et al. Sep 2005 A1
20050228930 Ning et al. Oct 2005 A1
20050286543 Coppola et al. Dec 2005 A1
20060002303 Bejerano et al. Jan 2006 A1
20060031615 Bruce et al. Feb 2006 A1
20060053312 Jones et al. Mar 2006 A1
20060075169 Harris et al. Apr 2006 A1
20060104274 Caviglia et al. May 2006 A1
20060161875 Rhee Jul 2006 A1
20060206297 Ishiyama et al. Sep 2006 A1
20060209846 Clermidy et al. Sep 2006 A1
20060268909 Langevin et al. Nov 2006 A1
20070038987 Ohara et al. Feb 2007 A1
20070088537 Lertora et al. Apr 2007 A1
20070118320 Luo et al. May 2007 A1
20070147379 Lee et al. Jun 2007 A1
20070162903 Babb, II et al. Jul 2007 A1
20070189283 Agarwal et al. Aug 2007 A1
20070244676 Shang et al. Oct 2007 A1
20070256044 Coryer et al. Nov 2007 A1
20070267680 Uchino et al. Nov 2007 A1
20070274331 Locatelli et al. Nov 2007 A1
20080072182 He et al. Mar 2008 A1
20080120129 Seubert et al. May 2008 A1
20080126569 Rhim et al. May 2008 A1
20080127014 Pandey et al. May 2008 A1
20080184259 Lesartre et al. Jul 2008 A1
20080186998 Rijpkema Aug 2008 A1
20080211538 Lajolo et al. Sep 2008 A1
20080232387 Rijpkema et al. Sep 2008 A1
20090037888 Tatsuoka et al. Feb 2009 A1
20090046727 Towles Feb 2009 A1
20090067331 Watsen et al. Mar 2009 A1
20090067348 Vasseur et al. Mar 2009 A1
20090070726 Mehrotra et al. Mar 2009 A1
20090083263 Felch et al. Mar 2009 A1
20090089725 Khan Apr 2009 A1
20090109996 Hoover et al. Apr 2009 A1
20090122703 Gangwal et al. May 2009 A1
20090125574 Mejdrich et al. May 2009 A1
20090125703 Mejdrich et al. May 2009 A1
20090125706 Hoover et al. May 2009 A1
20090135739 Hoover et al. May 2009 A1
20090138567 Hoover et al. May 2009 A1
20090150647 Mejdrich et al. Jun 2009 A1
20090157976 Comparan et al. Jun 2009 A1
20090172304 Gueron et al. Jul 2009 A1
20090182944 Comparan et al. Jul 2009 A1
20090182954 Mejdrich et al. Jul 2009 A1
20090182986 Schwinn et al. Jul 2009 A1
20090182987 Mejdrich et al. Jul 2009 A1
20090187716 Comparan et al. Jul 2009 A1
20090187734 Mejdrich et al. Jul 2009 A1
20090187756 Nollet et al. Jul 2009 A1
20090201302 Hoover et al. Aug 2009 A1
20090210184 Medardoni et al. Aug 2009 A1
20090210883 Hoover et al. Aug 2009 A1
20090228681 Mejdrich et al. Sep 2009 A1
20090228682 Mejdrich et al. Sep 2009 A1
20090228689 Muff et al. Sep 2009 A1
20090228690 Muff et al. Sep 2009 A1
20090231348 Mejdrich et al. Sep 2009 A1
20090231349 Mejdrich et al. Sep 2009 A1
20090240920 Muff et al. Sep 2009 A1
20090245257 Comparan et al. Oct 2009 A1
20090256836 Fowler et al. Oct 2009 A1
20090260013 Heil et al. Oct 2009 A1
20090268677 Chou et al. Oct 2009 A1
20090271172 Mejdrich et al. Oct 2009 A1
20090276572 Heil et al. Nov 2009 A1
20090282139 Mejdrich et al. Nov 2009 A1
20090282197 Comparan et al. Nov 2009 A1
20090282211 Hoover et al. Nov 2009 A1
20090282214 Kuesel et al. Nov 2009 A1
20090282221 Heil et al. Nov 2009 A1
20090282222 Hoover et al. Nov 2009 A1
20090282226 Hoover et al. Nov 2009 A1
20090282227 Hoover et al. Nov 2009 A1
20090282419 Mejdrich et al. Nov 2009 A1
20090285222 Hoover et al. Nov 2009 A1
20090287885 Kriegel et al. Nov 2009 A1
20090292907 Schwinn et al. Nov 2009 A1
20090293061 Schwinn et al. Nov 2009 A1
20090300292 Fang Dec 2009 A1
20090300335 Muff et al. Dec 2009 A1
20090307714 Hoover et al. Dec 2009 A1
20090313592 Murali et al. Dec 2009 A1
20090315908 Comparan et al. Dec 2009 A1
20100023568 Hickey et al. Jan 2010 A1
20100031009 Muff et al. Feb 2010 A1
20100040162 Suehiro Feb 2010 A1
20100042812 Hickey et al. Feb 2010 A1
20100042813 Hickey et al. Feb 2010 A1
20100070714 Hoover et al. Mar 2010 A1
20100091787 Muff et al. Apr 2010 A1
20100100707 Mejdrich et al. Apr 2010 A1
20100100712 Mejdrich et al. Apr 2010 A1
20100100770 Mejdrich et al. Apr 2010 A1
20100100934 Mejdrich et al. Apr 2010 A1
20100106940 Muff et al. Apr 2010 A1
20100125722 Hickey et al. May 2010 A1
20100158005 Mukhopadhyay et al. Jun 2010 A1
20100162019 Kumar et al. Jun 2010 A1
20100189111 Muff et al. Jul 2010 A1
20100191940 Mejdrich et al. Jul 2010 A1
20100211718 Gratz et al. Aug 2010 A1
20100223505 Andreev et al. Sep 2010 A1
20100228781 Fowler et al. Sep 2010 A1
20100239185 Fowler et al. Sep 2010 A1
20100239186 Fowler et al. Sep 2010 A1
20100242003 Kwok Sep 2010 A1
20100269123 Mejdrich et al. Oct 2010 A1
20100284309 Allan et al. Nov 2010 A1
20100333099 Kupferschmidt et al. Dec 2010 A1
20110022754 Cidon et al. Jan 2011 A1
20110035523 Feero et al. Feb 2011 A1
20110044336 Umeshima Feb 2011 A1
20110060831 Ishii et al. Mar 2011 A1
20110063285 Hoover et al. Mar 2011 A1
20110064077 Wen Mar 2011 A1
20110072407 Keinert et al. Mar 2011 A1
20110085550 Lecler et al. Apr 2011 A1
20110085561 Ahn et al. Apr 2011 A1
20110103799 Shacham et al. May 2011 A1
20110119322 Li et al. May 2011 A1
20110154282 Chang et al. Jun 2011 A1
20110173258 Arimilli et al. Jul 2011 A1
20110191088 Hsu et al. Aug 2011 A1
20110191774 Hsu et al. Aug 2011 A1
20110235531 Vangal et al. Sep 2011 A1
20110243147 Paul Oct 2011 A1
20110276937 Waller Nov 2011 A1
20110289485 Mejdrich et al. Nov 2011 A1
20110292063 Mejdrich et al. Dec 2011 A1
20110302345 Boucard et al. Dec 2011 A1
20110302450 Hickey et al. Dec 2011 A1
20110307734 Boesen et al. Dec 2011 A1
20110316864 Mejdrich et al. Dec 2011 A1
20110320719 Mejdrich et al. Dec 2011 A1
20110320724 Mejdrich et al. Dec 2011 A1
20110320771 Mejdrich et al. Dec 2011 A1
20110320854 Elrabaa Dec 2011 A1
20110320991 Hsu et al. Dec 2011 A1
20110321057 Mejdrich et al. Dec 2011 A1
20120022841 Appleyard Jan 2012 A1
20120023473 Brown et al. Jan 2012 A1
20120026917 Guo et al. Feb 2012 A1
20120054511 Brinks et al. Mar 2012 A1
20120072635 Yoshida et al. Mar 2012 A1
20120079147 Ishii et al. Mar 2012 A1
20120099475 Tokuoka Apr 2012 A1
20120110106 De Lescure et al. May 2012 A1
20120110541 Ge et al. May 2012 A1
20120144065 Parker et al. Jun 2012 A1
20120155250 Carney et al. Jun 2012 A1
20120173846 Wang et al. Jul 2012 A1
20120176364 Schardt et al. Jul 2012 A1
20120195321 Ramanujam et al. Aug 2012 A1
20120198408 Chopra Aug 2012 A1
20120209944 Mejdrich et al. Aug 2012 A1
20120218998 Sarikaya Aug 2012 A1
20120221711 Kuesel et al. Aug 2012 A1
20120260252 Kuesel et al. Oct 2012 A1
20120311512 Michel et al. Dec 2012 A1
20130021896 Pu et al. Jan 2013 A1
20130028083 Yoshida et al. Jan 2013 A1
20130028090 Yamaguchi et al. Jan 2013 A1
20130028261 Lee Jan 2013 A1
20130036296 Hickey et al. Feb 2013 A1
20130044117 Mejdrich et al. Feb 2013 A1
20130046518 Mejdrich et al. Feb 2013 A1
20130051397 Guo et al. Feb 2013 A1
20130054811 Harrand Feb 2013 A1
20130073771 Hanyu et al. Mar 2013 A1
20130073878 Jayasimha et al. Mar 2013 A1
20130080073 de Corral Mar 2013 A1
20130080671 Ishii et al. Mar 2013 A1
20130086399 Tychon et al. Apr 2013 A1
20130103369 Huynh et al. Apr 2013 A1
20130103912 Jones et al. Apr 2013 A1
20130111190 Muff et al. May 2013 A1
20130111242 Heller et al. May 2013 A1
20130117543 Venkataramanan et al. May 2013 A1
20130138925 Hickey et al. May 2013 A1
20130145128 Schardt et al. Jun 2013 A1
20130148506 Lea Jun 2013 A1
20130151215 Mustapha Jun 2013 A1
20130159668 Muff et al. Jun 2013 A1
20130159669 Comparan et al. Jun 2013 A1
20130159674 Muff et al. Jun 2013 A1
20130159675 Muff et al. Jun 2013 A1
20130159676 Muff et al. Jun 2013 A1
20130159944 Uno et al. Jun 2013 A1
20130160026 Kuesel et al. Jun 2013 A1
20130160114 Greenwood et al. Jun 2013 A1
20130163615 Mangano et al. Jun 2013 A1
20130174113 Lecler et al. Jul 2013 A1
20130179613 Boucard et al. Jul 2013 A1
20130179902 Hoover et al. Jul 2013 A1
20130185542 Mejdrich et al. Jul 2013 A1
20130191572 Nooney et al. Jul 2013 A1
20130191600 Kuesel et al. Jul 2013 A1
20130191649 Muff et al. Jul 2013 A1
20130191651 Muff et al. Jul 2013 A1
20130191824 Muff et al. Jul 2013 A1
20130191825 Muff et al. Jul 2013 A1
20130207801 Barnes Aug 2013 A1
20130219148 Chen et al. Aug 2013 A1
20130250792 Yoshida et al. Sep 2013 A1
20130254488 Kaxiras et al. Sep 2013 A1
20130263068 Cho et al. Oct 2013 A1
20130268990 Urzi et al. Oct 2013 A1
20130294458 Yamaguchi et al. Nov 2013 A1
20130305207 Hsieh et al. Nov 2013 A1
20130311819 Ishii et al. Nov 2013 A1
20130326458 Kazda et al. Dec 2013 A1
20140013293 Hsu et al. Jan 2014 A1
20140068132 Philip et al. Mar 2014 A1
20140068134 Philip et al. Mar 2014 A1
20140082237 Wertheimer et al. Mar 2014 A1
20140086260 Dai et al. Mar 2014 A1
20140092740 Wang et al. Apr 2014 A1
20140098683 Kumar et al. Apr 2014 A1
20140112149 Urzi et al. Apr 2014 A1
20140115218 Philip et al. Apr 2014 A1
20140115298 Philip et al. Apr 2014 A1
20140126572 Hutton et al. May 2014 A1
20140143557 Kuesel et al. May 2014 A1
20140143558 Kuesel et al. May 2014 A1
20140149720 Muff et al. May 2014 A1
20140164465 Muff et al. Jun 2014 A1
20140164704 Kuesel et al. Jun 2014 A1
20140164732 Muff et al. Jun 2014 A1
20140164734 Muff et al. Jun 2014 A1
20140211622 Kumar et al. Jul 2014 A1
20140229709 Kuesel et al. Aug 2014 A1
20140229712 Muff et al. Aug 2014 A1
20140229713 Muff et al. Aug 2014 A1
20140229714 Muff et al. Aug 2014 A1
20140229720 Hickey et al. Aug 2014 A1
20140230077 Muff et al. Aug 2014 A1
20140232188 Cheriyan et al. Aug 2014 A1
20140241376 Balkan et al. Aug 2014 A1
20140254388 Kumar et al. Sep 2014 A1
20140281243 Shalf et al. Sep 2014 A1
20140281402 Comparan et al. Sep 2014 A1
20140307590 Dobbelaere et al. Oct 2014 A1
20140359641 Clark et al. Dec 2014 A1
20140376569 Philip et al. Dec 2014 A1
20150020078 Kuesel et al. Jan 2015 A1
20150026435 Muff et al. Jan 2015 A1
20150026494 Bainbridge et al. Jan 2015 A1
20150026500 Muff et al. Jan 2015 A1
20150032988 Muff et al. Jan 2015 A1
20150032999 Muff et al. Jan 2015 A1
20150043575 Kumar et al. Feb 2015 A1
20150081941 Brown et al. Mar 2015 A1
20150103822 Gianchandani et al. Apr 2015 A1
20150109024 Abdelfattah et al. Apr 2015 A1
20150159330 Weisman et al. Jun 2015 A1
20150178435 Kumar Jun 2015 A1
20150331831 Solihin Nov 2015 A1
20150348600 Bhatia et al. Dec 2015 A1
20150381707 How Dec 2015 A1
20160344629 Gray Nov 2016 A1
20170061053 Kumar et al. Mar 2017 A1
20170063625 Philip et al. Mar 2017 A1
20170063697 Kumar Mar 2017 A1
Foreign Referenced Citations (10)
Number Date Country
103684961 Mar 2014 CN
5936793 May 2016 JP
6060316 Jan 2017 JP
6093867 Feb 2017 JP
10-2013-0033898 Apr 2013 KR
101652490 Aug 2016 KR
101707655 Feb 2017 KR
2010074872 Jul 2010 WO
2013063484 May 2013 WO
2014059024 Apr 2014 WO
Non-Patent Literature Citations (40)
Entry
Ababei, C., et al., Achieving Network on Chip Fault Tolerance by Adaptive Remapping, Parallel & Distributed Processing, 2009, IEEE International Symposium, 4 pgs.
Abts, D., et al., Age-Based Packet Arbitration in Large-Radix k-ary n-cubes, Supercomputing 2007 (SC07), Nov. 10-16, 2007, 11 pgs.
Beretta, I, et al., A Mapping Flow for Dynamically Reconfigurable Multi-Core System-on-Chip Design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Aug. 2011, 30(8), pp. 1211-1224.
Das, R., et al., Aergia: Exploiting Packet Latency Slack in On-Chip Networks, 37th International Symposium on Computer Architecture (ISCA '10), Jun. 19-23, 2010, 11 pgs.
Ebrahimi, E., et al., Fairness via Source Throttling: A Configurable and High-Performance Fairness Substrate for Multi-Core Memory Systems, ASPLOS '10, Mar. 13-17, 2010, 12 pgs.
Gindin, R., et al., NoC-Based FPGA: Architecture and Routing, Proceedings of the First International Symposium on Networks-on-Chip (NOCS'07), May 2007, pp. 253-262.
Grot, B., Preemptive Virtual Clock: A Flexible, Efficient, and Cost-Effective QOS Scheme for Networks-on-Chip, Micro '09, Dec. 16, 2009, 12 pgs.
Grot, B., Kilo-NOC: A Heterogeneous Network-on-Chip Architecture for Scalability and Service Guarantees, ISCA 11, Jun. 4-8, 2011, 12 pgs.
Grot, B., Topology-Aware Quality-of-Service Support in Highly Integrated Chip Multiprocessors, 6th Annual Workshop on the Interaction between Operating Systems and Computer Architecture, Jun. 2006, 11 pgs.
Hestness, J., et al., Netrace: Dependency-Tracking for Efficient Network-on-Chip Experimentation, The University of Texas at Austin, Dept. of Computer Science, May 2011, 20 pgs.
Jiang, N., et al., Performance Implications of Age-Based Allocations in On-Chip Networks, CVA MEMO 129, May 24, 2011, 21 pgs.
Lee, J. W., et al., Globally-Synchronized Frames for Guaranteed Quality-of-Service in On-Chip Networks, 35th IEEE/ACM International Symposium on Computer Architecture (ISCA), Jun. 2008, 12 pgs.
Lee, M. M., et al., Approximating Age-Based Arbitration in On-Chip Networks, PACT '10, Sep. 11-15, 2010, 2 pgs.
Li, B., et al., CoQoS: Coordinating QoS-Aware Shared Resources in NoC-based SoCs, J. Parallel Distrib. Comput., 71(5), May 2011, 14 pgs.
Lin, S., et al., Scalable Connection-Based Flow Control Scheme for Application-Specific Network-on-Chip, The Journal of China Universities of Posts and Telecommunications, Dec. 2011, 18(6), pp. 98-105.
Bolotin, Evgency, et al., “QNoC: QoS Architecture and Design Process for Network on Chip” 2004, 24 pages, Journal of Systems Architecture 50 (2004) 105-128 Elsevier.
Holsmark, Shashi Kumar Rickard, et al., “HiRA: A Methodology for Deadlock Free Routing in Hierarchical Networks on Chip”, 10 pages, (978-1-4244-4143-3/09 2009 IEEE).
Munirul, H.M., et al., Evaluation of Multiple-Valued Packet Multiplexing Scheme for Network-on-Chip Architecture, Proceedings of the 36th International Symposium on Multiple-Valued Logic (ISMVL '06), 2006, 6 pgs.
Rajesh BV, Shivaputra, “NOC: Design and Implementation of Hardware Network Interface With Improved Communication Reliability”, 7 pages, International Journal of VLSI and Embedded Systems, Ijives (vol. 04, Article 06116; Jun. 2013).
Yang, J., et al., Homogeneous NoC-based FPGA: The Foundation for Virtual FPGA, 10th IEEE International Conference on Computer and Information Technology (CIT 2010), Jun. 2010, pp. 62-67.
Zaman, Aanam, “Formal Verification of Circuit-Switched Network on Chip (NoC) Architectures using SPIN”, Oosman Hasan, IEEE © 2014, 8 pages.
Benini, Luca, et al., “Networks on Chips: A New SoC Paradigm”, IEEE Computers, SOC Designs, pp. 70-78, Copyright 2002 IEEE. 0018-9162/02.
Sethuraman, Ranga Vemuri Balasubramanian, “optiMap: A Tool for Automated Generation of NoC Architecture Using Multi-Port Routers for FPGAs”, IEEE, pp. 1-6, 2006.
International Search Report and Written Opinion for PCT/US2014/060745, dated Jan. 21, 2015, 10 pgs.
International Search Report and Written Opinion for PCT/US2014/060879, dated Jan. 21, 2015, 10 pgs.
International Search Report and Written Opinion for PCT/US2014/060892, dated Jan. 27, 2015, 10 pgs.
International Search Report and Written Opinion for PCT/US2014/060886, dated Jan. 26, 2015, 10 pgs.
International Search Report and Written Opinion for PCT/US2013/064140, dated Jan. 22, 2014, 9 pgs.
International Search Report and Written Opinion for PCT/US2014/012003, dated Mar. 26, 2014, 9 pgs.
International Search Report and Written Opinion for PCT/US2014/012012, dated May 14, 2014, 9 pgs.
International Search Report and Written Opinion for PCT/US2014/023625, dated Jul. 10, 2014, 9 pgs.
International Preliminary Report on Patentability for International Application No. PCT/US2013/064140, dated Apr. 14, 2015, 5 pages.
Office Action for Korean Patent Application No. 10-2016-7019093 dated Sep. 8, 2016, 3 pages plus 1 page English translation. KIPO, Korea.
Notice of Allowance for for Korean Patent Application No. 10-2016-7019093 dated Sep. 8, 2016, 4 pages. KIPO, Korea.
International Search Report and Written Opinion for PCT/US2014/037902, dated Sep. 30, 2014, 14 pgs.
Office Action for Japanese Patent Application No. 2015-535898 dated Oct. 25, 2016, 2 pages English, 2 pages untranslated copy. Japan Patent Office.
Notice of Grant for Japanese Patent Application No. 2015-535898 dated Jan. 17, 2017, 3 pages, untranslated. Japan Patent Office.
International Search Report and Written Opinion for PCT/US2014/048190, dated Nov. 28, 2014, 11 pgs.
Office Action for Japanese Patent Application No. 2016-516030 dated Aug. 30, 2016, 2 pages, Japan Patent Office.
Decision to Grant for Japanese Patent Application No. 2016-516030 dated Nov. 22, 2016, 6 pages, untranslated, Japan Patent Office.
Related Publications (1)
Number Date Country
20190266089 A1 Aug 2019 US
Provisional Applications (1)
Number Date Country
62634587 Feb 2018 US