The present application relates to semiconductor technology, and more particularly to a semiconductor structure which includes a backside dielectric cap that is located between the gate structure and the backside interlayer dielectric layer.
Backside power distribution network (BSPDN) is a technology that separates the power delivery network from a conventional signal network of the back-end-of-the (BEOL) and improves power integrity and core utilization. BSPDN technology moves the power distribution network from fine metal layers present on the frontside of a chip to its backside wherein power can be delivered through larger metal layers. This provides many significant physical benefits including, for example, reduced IR droop, smaller standard-cell footprints, and simplified signal routing on the chip's frontside metal layers.
A semiconductor structure is provided that includes a backside dielectric cap which seals the gate structure thus preventing gate structure exposure during backside processing. The presence of the backside dielectric cap helps to mitigate gate to direct backside source/drain contact shorts. The backside dielectric cap is formed during frontside processing. The backside dielectric cap of the present application is a self-aligned dielectric cap.
In one aspect of the present application, a semiconductor structure is provided. In one embodiment of the present application, the semiconductor structure includes a transistor including a first source/drain region located on a first side of a gate structure and a second source/drain region located on a second side of the gate structure. A backside dielectric cap is in direct physical contact with a surface of the gate structure. A backside source/drain contact structure directly contacts a surface of the first source/drain region of the transistor. In the present application, the backside dielectric cap prevents the backside source/drain contact structure from directly contacting the gate structure.
In another aspect of the present application, a method of forming a semiconductor structure is provided. In one embodiment, the method includes forming a shallow trench isolation structure in a semiconductor substrate and adjacent to a mesa portion of a semiconductor substrate, wherein a semiconductor channel material structure is present on the mesa portion of the semiconductor substrate. Next, a dielectric layer is formed on the shallow trench isolation structure and on top of the semiconductor channel material structure. The dielectric layer that is located on top of the semiconductor channel material structure is thereafter selectively removed, while maintaining the dielectric layer on the shallow trench isolation structure. A sacrificial gate structure is then formed on the semiconductor channel material structure. Next, a backside source/drain contact placeholder structure is formed in the semiconductor substrate and on each side of the sacrificial gate structure. A source/drain region is then formed on each of the backside source/drain contact placeholder structures. The method continues by replacing the sacrificial gate structure with a gate structure, and thereafter removing the semiconductor substrate to physically expose each of the backside source/drain contact placeholder structures. After semiconductor substrate removal, a backside interlayer dielectric layer is formed embedding each of the backside source/drain contact placeholder structures, and thereafter at least one of the backside source/drain contact placeholder structures is replaced with a backside source/drain contact structure.
The present application will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. It is noted that the drawings of the present application are provided for illustrative purposes only and, as such, the drawings are not drawn to scale. It is also noted that like and corresponding elements are referred to by like reference numerals.
In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide an understanding of the various embodiments of the present application. However, it will be appreciated by one of ordinary skill in the art that the various embodiments of the present application may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the present application.
It will be understood that when an element as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “beneath” or “under” another element, it can be directly beneath or under the other element, or intervening elements may be present. In contrast, when an element is referred to as being “directly beneath” or “directly under” another element, there are no intervening elements present.
The terms substantially, substantially similar, about, or any other term denoting functionally equivalent similarities refer to instances in which the difference in length, height, or orientation convey no practical difference between the definite recitation (e.g. the phrase sans the substantially similar term), and the substantially similar variations. In one embodiment, substantial (and its derivatives) denote a difference by a generally accepted engineering or manufacturing tolerance for similar devices, up to, for example, 10% deviation in value or 10° deviation in angle.
In order to enable an area-efficient and high performance backside power distribution network architecture, direct backside source/drain contacts are needed. Two issue have arisen in direct backside source/drain contact development. The first issue is that dielectrics having a dielectric constant, k, of greater than 4.0 (so-called high-k dielectrics) are physically exposed during the backside processing so that there is a risk of threshold voltage, Vt, shift due to hydroxyl group diffusion. The second issue is that although the gate structure within an active device region is protected by a bottom dielectric isolation layer, it is not protected on the shallow trench isolation structure. Considering backside contact reactive ion etching (RIE) overlay (with respect to the frontside) is not ideal, this brings a risk of gate to direct backside source/drain contact shorts. A solution to both issues is required in order to provide robust direct backside source/drain contacts in backside power distribution network architecture.
A semiconductor structure is provided that includes a backside dielectric cap which seals the gate structure thus preventing gate structure exposure during backside processing. The presence of the backside dielectric cap helps to mitigate gate to direct backside source/drain contact shorts. The backside dielectric cap of the present application is formed during frontside processing. The backside dielectric cap is located between the gate structure and a backside interlayer dielectric material layer that includes the backside source/drain contact structure and a shallow trench isolation structure.
A transistor (or field effect transistor (FET)) includes a source region, a drain region, a semiconductor channel region located between the source region and the drain region, and a gate structure located above the semiconductor channel region. Collectively, the source region and the drain region can be referred to as a source/drain region. In the embodiment described in the present application, the transistor is a nanosheet transistor. A nanosheet transistor is a non-planar transistor that includes a vertical stack of spaced apart semiconductor channel material nanosheets as the semiconductor channel region with a pair of source/drain regions located at each of the ends of the vertical stack of spaced apart semiconductor channel material nanosheets. The gate structure includes a gate dielectric and a gate electrode. The gate structure wraps around each of the spaced apart semiconductor channel material nanosheets. Nanosheet transistors provide considerable scaling with high drive current capability. Nanosheet transistors provide a larger drive current for a given footprint compared to finFET technology. Although nanosheet transistors are described in this application, this application is not limited to nanosheet transistors. Instead, the present application can be used for finFETs, nanowire FETs, planar FETs, stacked FETs or any combination of such FETs including nanosheet transistors.
In the present application, the semiconductor structure includes a frontside and a backside. The frontside includes a side of the structure that includes at least one nanosheet transistor, frontside contact structures, and a frontside BEOL structure. The backside of the semiconductor structure is the side of the structure that is opposite the frontside. The backside includes at least a direct backside source/drain contact structure.
In one aspect, a semiconductor structure is provided (see, for example,
In some embodiments (see, for example,
In some embodiments (see, for example,
In some embodiments (see, for example,
In some embodiments (see, for example,
In some embodiments (see, for example,
In some embodiments (see, for example,
In some embodiments (see, for example,
In some embodiments (see, for example,
In some embodiments (see, for example,
In some embodiments (see, for example,
In some embodiments (see, for example,
In some embodiments (see, for example,
In some embodiments (see, for example,
These and other aspect of the present application will be described in greater detail in referring to the description concerning the processing flow illustrated in
Before describing the processing flow of the present application in detail, reference is first made to
Referring now to
The semiconductor substrate 10 includes at least one semiconductor material having semiconducting properties. Examples of semiconductor materials that can be used in the present application in providing the semiconductor substrate 10 include, but are not limited to, silicon (Si), a silicon germanium (SiGe) alloy, a silicon germanium carbide (SiGeC) alloy, germanium (Ge), III/V compound semiconductors or II/VI compound semiconductors. The at least one semiconductor material that provides the semiconductor substrate 10 can be referred to as a first semiconductor material. In some embodiments, the semiconductor substrate 10 includes a first semiconductor layer, an etch stop layer and a second semiconductor layer. In embodiments, the first semiconductor layer and/or the etch stop layer can be omitted from the substrate. The first semiconductor layer and the second semiconductor layer are composed of one of the semiconductor materials mentioned above. The semiconductor material that provides the second semiconductor layer can be compositionally the same as, or compositionally different from, the semiconductor material that provides the first semiconductor layer. In some embodiments of the present application, the etch stop layer of the semiconductor substrate 10 can be composed of a dielectric material such as, for example, silicon dioxide and/or boron nitride. In other embodiments of the present application, the etch stop layer is composed of a semiconductor material that is compositionally different from the semiconductor material that provides the first semiconductor layer and the semiconductor material that provides the second semiconductor layer. In one example, the semiconductor substrate 10 includes a first semiconductor layer composed of silicon, an etch stop layer composed of silicon dioxide, and a second semiconductor layer is composed of silicon. In another example, the first semiconductor layer is composed of silicon, the etch stop layer is composed of silicon germanium, and the second semiconductor layer is composed of silicon.
The semiconductor substrate 10 including the first semiconductor layer, the etch stop layer and the second semiconductor layer can be formed utilizing techniques well known to those skilled in the art. For example, the semiconductor substrate 10 can be formed by a separation by ion implantation of oxygen process, or wafer bonding. Alternatively, the semiconductor substrate 10 can be formed by deposition of various substrate layers one on top the other. The deposition used in forming the various substrate layers can include, but is not limited to, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or epitaxial growth. The terms “epitaxial growth” or “epitaxially growing” means the growth of a semiconductor material on a growth surface of another semiconductor material, in which the semiconductor material being grown has the same crystalline characteristics as the growth surface of the another semiconductor material. In an epitaxial deposition process, the chemical reactants provided by the source gases are controlled and the system parameters are set so that the depositing atoms arrive at the growth surface of the another semiconductor material with sufficient energy to move around on the growth surface and orient themselves to the crystal arrangement of the atoms of the growth surface. Examples of various epitaxial growth process apparatuses that can be employed in the present application include, e.g., rapid thermal chemical vapor deposition (RTCVD), low-energy plasma deposition (LEPD), ultra-high vacuum chemical vapor deposition (UHVCVD), atmospheric pressure chemical vapor deposition (APCVD) and molecular beam epitaxy (MBE). The temperature for epitaxial deposition typically ranges from 450° C. to 900° C. Although higher temperature typically results in faster deposition, the faster deposition may result in crystal defects and film cracking.
As mentioned above, each patterned material stack includes the sacrificial semiconductor base layer 12 and alternating sacrificial semiconductor material layers 14 and semiconductor channel material layers 16. In the present application, the sacrificial semiconductor base layer 12 is composed of a second semiconductor material, each sacrificial semiconductor material layer 14 is composed of a third semiconductor material, and each semiconductor channel material layer 16 is composed of a fourth semiconductor material, wherein the second semiconductor material is compositionally different from the first semiconductor material, the third semiconductor material and the fourth semiconductor material, and wherein the third semiconductor material is compositionally different from the fourth semiconductor material. In one example, the second semiconductor material that provides the sacrificial semiconductor base layer 12 is composed of a silicon germanium alloy having a germanium content from 55 atomic percent to 75 atomic percent, the third semiconductor material that provides each sacrificial semiconductor material layer 14 is composed of a silicon germanium alloy having a germanium content from 20 atomic percent to 40 atomic percent, and the fourth semiconductor material that provides each semiconductor channel material layer 16 is composed of silicon.
In some embodiments and as is illustrated in
As mentioned above, hard mask cap 18 is located on a topmost surface of each patterned material stack. Hard mask cap 18 is composed of a dielectric hard mask material such as, for example, silicon dioxide, silicon nitride and/or silicon oxynitride.
The hard mask capped patterned material stacks are formed by blanket depositing a layer of the second semiconductor material, alternating layers of the third and fourth semiconductor materials and a layer of the dielectric hard mask material. The depositing of the second, third and fourth semiconductor material can include CVD, PECVD, epitaxial growth or any combination thereof. The depositing of the dielectric hard mask material can include, but is not limited to, CVD, PECVD, physical vapor deposition (PVD) or atomic layer deposition (ALD). After blanket depositing of these various material layers, the blanket layer material stack is then patterned by lithography and etching. Lithography includes forming a photoresist material on a layer or stack of material layers that need to be patterned, exposing the photoresist material to a desired pattern of irradiation and thereafter developing the exposed photoresist material utilizing a conventional resist developer. The developed photoresist material has a desired pattern that is then transferred to the layer or stack of material layers that need to be patterned by etching. Etching can include dry etching and/or chemical wet etching. In embodiments, a dry etch such as, for example, reactive ion etching (RIE), ion beam etching (IBE), plasma etching or any combination thereof can be used to transfer the pattern to the layer or stack of material layers that need to be patterned.
The shallow trench isolation structure 20 is composed of any trench dielectric material such as, for example, silicon oxide. In some embodiments, a trench dielectric liner composed of, for example, SiN, can be present along a sidewall and a bottom wall of the trench dielectric material. The shallow trench isolation structure 20 is located in an upper portion of the semiconductor substrate 10. The shallow trench isolation structure 20 can be formed by first forming a trench in the semiconductor substrate (by lithography and etching) and then filling this trench with at least a trench dielectric material as described above. In embodiments, a recess etch can follow the trench fill process. In the illustrated embodiment, the shallow trench isolation structure 20 has a height that is less than a topmost surface of the mesa portion 10M of the semiconductor substrate 10.
Referring now to
Referring now to
Referring now to
Referring now to
Referring now to
Referring now to
Referring now to
Afte nanosheet patterning, each sacrificial semiconductor material nanosheet 14NS of a nanosheet stack is subjected to a recess etching process that removes end portions of each of the sacrificial semiconductor material nanosheet 14NS. The recess etch is a lateral etching process that is selective in removing end portions of the third semiconductor material that provides each of the sacrificial semiconductor material nanosheets 14NS. The recess etching process forms a gap beneath the ends of each of the semiconductor channel material nanosheets 16NS; the gaps are located adjacent to the recessed sacrificial semiconductor material nanosheets 14NS. An inner dielectric spacer 33 is then formed in each of the gaps. The inner dielectric spacer 33 includes an inner spacer dielectric material such as, for example, silicon dioxide, SiN, SiBCN, SiOCN or SiOC. The inner dielectric spacer 33 is formed by deposition, followed by a recess etch to remove dielectric spacer material that is formed outside each of the above mentioned gaps.
Referring now to
The source/drain regions 36 are typically formed by an epitaxial growth process, as defined above. A recess etch can follow the epitaxial growth process. The source/drain regions 36 extend outward from a physically exposed sidewall of each semiconductor channel material nanosheet 16NS. Each of the source/drain regions 36 is composed of a sixth semiconductor material and a dopant. As used herein, a “source/drain” region can be a source region or a drain region depending on subsequent wiring and application of voltages during operation of the transistor. The sixth semiconductor material that provides the source/drain regions 36 can be compositionally the same, or compositionally different from, the fourth semiconductor material that provides each semiconductor channel material nanosheet 16NS. The dopant that is present in the source/drain regions 36 can be either a p-type dopant or an n-type dopant. The term “p-type” refers to the addition of impurities to an intrinsic semiconductor that creates deficiencies of valence electrons. In a silicon-containing semiconductor material, examples of p-type dopants, i.e., impurities, include, but are not limited to, boron, aluminum, gallium, phosphorus and indium. “N-type” refers to the addition of impurities that contributes free electrons to an intrinsic semiconductor. In a silicon containing semiconductor material, examples of n-type dopants, i.e., impurities, include, but are not limited to, antimony, arsenic and phosphorous. In one example, each source/drain region 36 can have a dopant concentration of from 4×1020 atoms/cm3 to 3×1021 atoms/cm3.
Although not shown in the drawings, a first frontside interlayer dielectric (ILD) layer is formed after forming the source/drain regions 36. In the present application, the first frontside ILD layer represents a bottom portion of the MOL dielectric layer 40 shown in
Referring now to
Each sacrificial gate structure 26 can be removed utilizing a material removal process such as, for example, etching, that is selective in removing the sacrificial gate structure 26. This material removal steps revels the underlying nanosheet stack. After revealing the nanosheet stack, each sacrificial semiconductor material nanosheet 14NS is removed to suspend a portion of each semiconductor channel material nanosheet 16NS. Each sacrificial semiconductor material nanosheet 14NS is removed utilizing any material removal process such as, for example, etching, which is selective in removing the sacrificial semiconductor material nanosheets 14NS.
Gate structure 38 is now formed. Gate structure 38 is formed in the area previously accompanied by the sacrificial gate structure 26 and each of the sacrificial semiconductor material nanosheets 14NS. The gate structure 38 wraps around each of the semiconductor material nanosheets 16NS within each nanosheet stack. The gate structure 38 includes a gate dielectric layer and a gate electrode; both the gate dielectric layer and the gate electrode are not separately shown in the drawing, but both are included in the area shown as the gate structure 38. As is known, the gate dielectric layer is formed directly around the suspended portion of each semiconductor channel material nanosheet 16NS and the gate electrode is formed on the gate dielectric layer. The gate dielectric layer of the gate structure 38 is composed of a gate dielectric material that has a dielectric constant of greater than 4.0. Illustrative examples of gate dielectric materials that can be used in providing the gate dielectric layer include, but are not limited to, hafnium dioxide (HfO2), hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiO), lanthanum oxide (La2O3), lanthanum aluminum oxide (LaAlO3), zirconium dioxide (ZrO2), zirconium silicon oxide (ZrSiO4), zirconium silicon oxynitride (ZrSiOxNy), tantalum oxide (TaOx), titanium oxide (TiO), barium strontium titanium oxide (BaO6SrTi2), barium titanium oxide (BaTiO3), strontium titanium oxide (SrTiO3), yttrium oxide (Yb2O3), aluminum oxide (Al2O3), lead scandium tantalum oxide (Pb(Sc,Ta) O3), and/or lead zinc niobite (Pb(Zn,Nb) O). The gate dielectric material can further include dopants such as lanthanum (La), aluminum (Al) and/or magnesium (Mg).
The gate electrode of the gate structure 38 is composed of a gate electrode material. The gate electrode material can include a work function metal (WFM) and optionally a conductive metal. The WFM can be used to set a threshold voltage of the transistor to a desired value. In some embodiments, the WFM can be selected to effectuate an n-type threshold voltage shift. “N-type threshold voltage shift” as used herein means a shift in the effective work-function of the work-function metal-containing material towards a conduction band of silicon in a silicon-containing material. In one embodiment, the work function of the n-type work function metal ranges from 4.1 eV to 4.3 eV. Examples of such materials that can effectuate an n-type threshold voltage shift include, but are not limited to, titanium aluminum, titanium aluminum carbide, tantalum nitride, titanium nitride, hafnium nitride, hafnium silicon, or combinations and thereof. In other embodiments, the WFM can be selected to effectuate a p-type threshold voltage shift. In one embodiment, the work function of the p-type work function metal ranges from 4.9 eV to 5.2 eV. As used herein, “threshold voltage” is the lowest attainable gate voltage that will turn on a semiconductor device, e.g., transistor, by making the channel of the device conductive. The term “p-type threshold voltage shift” as used herein means a shift in the effective work-function of the work-function metal-containing material towards a valence band of silicon in the silicon containing material. Examples of such materials that can effectuate a p-type threshold voltage shift include, but are not limited to, titanium nitride, and tantalum carbide, hafnium carbide, and combinations thereof. The optional conductive metal can include, but is not limited to aluminum (Al), tungsten (W), or cobalt (Co). The gate structure 38 can be formed by deposition of the gate dielectric material and the gate electrode material, followed by a planarization process which removes any gate dielectric material and gate electrode material that is formed atop the gate spacer 32 and the first ILD layer. In some areas of the structure, the gate structure 38 is formed on a surface of the dielectric layer 22 (see, for example,
A second frontside ILD layer is then formed on the first ILD layer and the gate structure 38. The second frontside ILD layer includes one of the dielectric materials mentioned above for the first frontside ILD layer. The dielectric material that provides the second frontside ILD layer can be compositionally the same as, or compositionally different from, the dielectric material that provides the first frontside ILD layer. The second frontside ILD layer can be formed utilizing one of the deposition processes mentioned above in forming the first frontside ILD layer. Collectively, the first frontside ILD layer and the second frontside ILD layer provide MOL dielectric layer 40 shown in
At this point of the present application, frontside contact structures (not shown) can be formed into the MOL dielectric layer 40. The frontside contact structures can include a frontside source/drain contact structure (not shown) and a frontside gate contact structure (also not shown) The frontside contact structures are formed utilizing a metallization process. The metallization process includes forming contact openings in the MOL dielectric layer 40 and then filling (including deposition and planarization) those contact openings with at least a contact conductor material. The contact conductor material that can be used for providing the frontside contact structures includes, for example, a silicide liner, such as Ni, Pt, NiPt, an adhesion metal liner, such as TiN, and conductive metals such as W, Cu, Al, Co, Ru, Mo, Os, Ir, Rh, or an alloy thereof. The frontside contact structures can also include one or more contact liners (not shown). In one or more embodiments, the contact liner (not shown) can include a diffusion barrier material. Exemplary diffusion barrier materials include, but are not limited to, Ti, Ta, Ni, Co, Pt, W, Ru, TiN, TaN, WN, WC, an alloy thereof, or a stack thereof such as Ti/TiN and Ti/WC. In one or more embodiments in which a contact liner is present, the contact liner (not shown) can include a silicide liner, such as Ti, Ni, NiPt, etc., and a diffusion barrier material, as defined above.
Next, frontside BEOL structure 42 is formed on the uppermost surface of the MOL dielectric layer 40. The frontside BEOL structure 42 can include one or more interconnect dielectric material layers (including one of the dielectric materials mentioned above for the first frontside ILD layer) that contain frontside metal wires (the metal wires can be composed of any electrically conductive metal or electrically conductive metal alloy) embedded therein. Electrical contact of the frontside BEOL structure 42 to each frontside contact structure is made.
The carrier wafer 44 can include one of the semiconductor materials mentioned above for the semiconductor substrate 10. Carrier wafer 44 is bonded to the frontside BEOL structure 42 after frontside BEOL structure 42 formation. This concludes the frontside processing of the semiconductor structure of the present application.
Referring now to
Referring now to
Referring now to
Referring now to
The backside source/drain contact structure 52 that is formed includes a first portion having a first critical dimension, CD1, and a second portion having a second critical dimension, CD2, wherein CD2 is smaller than CD1. In the present application, the second portion of the backside source/drain contact structure 52 having CD2 is closest to the source/drain regions 36 than the first portion of the backside source/drain contact structure 52 having CD1. Note that in the present application, the second portion of the backside source/drain contact structure 38 having CD2 has sidewalls that are substantially vertically aligned to sidewalls of the source/drain region 36 that it is in direct contact with.
After forming the backside source/drain contact structures 52, backside power rails (not shown) and a backside interconnect structure (not shown) can be formed beneath the backside ILD layer 46. The backside power rails are composed of any electrically conductive power rail material including, but not limited to, tungsten (W), cobalt (Co), ruthenium (Ru), aluminum (Al), copper (Cu), platinum (Pt), rhodium (Rh), or palladium (Pd). A diffusion barrier, not shown, can be present on at least the sidewalls of the backside power rails. These power rails are located on the backside of the device and can be formed utilizing a damascene process in which openings are formed in a second backside ILD layer that is formed on the backside ILD layer 46 and those openings are then filled with at least one of the electrically conductive power rail materials mentioned above. The filling of the openings can include a deposition process such as, for example, CVD, PECVD, ALD, sputtering or plating. A planarization process can follow the deposition process. In other embodiments, a substrative etching process can be used in which the backside power rails are first formed by deposition of a layer of an electrically conductive power rail material, followed by patterning the deposited layer of electrically conductive power rail material into the backside power rails. The second backside ILD layer can then be formed to embed each of the backside power rails.
The backside interconnect structure includes ILD layers having backside metal wires (the metal wires can be composed of any electrically conductive metal or electrically conductive metal alloy) embedded therein In the present application, backside interconnect structure can sever as a backside power distribution network, and it can be formed in contact with the backside power rails. The backside power rails and the backside interconnect structure are not separately shown, but collectively they would be located in backside power system 54 shown in
While the present application has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present application. It is therefore intended that the present application not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.