Electron induced chemical etching/deposition for enhanced detection of surface defects

Information

  • Patent Grant
  • 7791055
  • Patent Number
    7,791,055
  • Date Filed
    Monday, July 10, 2006
    18 years ago
  • Date Issued
    Tuesday, September 7, 2010
    14 years ago
  • CPC
  • US Classifications
    Field of Search
    • US
    • 250 559400
    • 250 208100
    • 250 221000
    • 250 559270
    • 356 326000
    • 356 630000
    • 356 430000
    • 356 2372-2375
    • 438 008000
    • 438 014000
    • 438 694000
  • International Classifications
    • G01N21/86
    • Term Extension
      186
Abstract
A method of imaging and identifying defects and contamination on the surface of an integrated circuit is described. The method may be used on areas smaller than one micron in diameter. An energetic beam, such as an electron beam, is directed at a selected IC location having a layer of a solid, fluid or gaseous reactive material formed over the surface. The energetic beam disassociates the reactive material in the region into chemical radicals that either chemically etch the surface preferentially, or deposit a thin layer of a conductive material over the local area around the energetic beam. The surface may be examined as various layers are selectively etched to decorate defects and/or as various layers are locally deposited in the area around the energetic beam. SEM imaging and other analytic methods may be used to identify the problem more easily.
Description
TECHNICAL FIELD

This application relates generally to semiconductor devices and device testing and, more particularly, to detection and analysis of defects and errors associated with electrical function failures and long term reliability failures in integrated circuit (IC) devices, including individual die, packaged die or die still on semiconductor wafers, such as memory devices, logic devices and microprocessors.


BACKGROUND

The semiconductor device industry has a market driven need to reduce IC device failures at electrical test, and to improve the operational lifetimes of IC devices. Reduced device failures may result in increased IC fabrication yield and improved device operational lifetime. Increased IC fabrication yields may result in decreased IC prices, and improved market share.


One method to reduce the number of device failures is to analyze failed devices and determine the cause of the failure. The failures may be what are known as field failures that occur at customer sites, or they may occur in products that have been sold to consumers. The failures may be found during wafer level testing at the end of wafer fabrication, or in testing after a supposedly good IC die is placed in a package, or in testing after a supposedly good IC package is placed in a printed circuit board (PCB).


It is known to examine failed devices by means of electrical testing, optical microscopes, transmitting electron microscopes (TEM), scanning electron microscopes (SEM), and other well known methods. If, for example, a particle is found that produces a short between two conductive lines in a signal layer, then action may be taken at the fabrication site to reduce particle levels, and thus increase fabrication yield. This method may be used in cases where the failure, such as the illustrative particle just discussed, is at, or near, the surface of the sample, since the failure may not be otherwise visible in an optical or an electron microscope.


However, as the semiconductor device industry has increased the level of integration of their devices and packed more capability on ever smaller semiconductor chips, the critical dimension, or size, of each transistor, each conductive line, and the spacing between lines has decreased. As a result of the smaller lines and smaller spaces, the size of a defect that may result in a device failure has also decreased, which means that the same defects and particles that were not likely to cause failures in previous generations of electronic devices are now device killers. The smaller defects are harder to detect and observe with the existing methods of detection and evaluation, and some method to enlarge the defects, which may be known as decorating, or of increasing the defect contrast as compared with the device background is needed to improve defect detection.


A method is needed to chemically etch a small area around a defect with high selectivity between the etch rates of the materials forming the defect and the materials forming the semiconductor substrate. This would improve the visual and SEM delineation, or contrast, between the defect and the substrate. In addition, or in the alternative, a layer of conductive material needs to be deposited, either selectively or non-selectively, on the surface of the substrate to decorate the defect, thus improving the ability to observe the defect. The ability to observe the defect during the localized etching and/or deposition, and the ability to stop the etching or decorating when the best image is obtained would also be beneficial. The ability to analyze the composition of the materials being etched or decorated prior to beginning the enhancement process, would improve the proper selection of the optimum etch mixture and conditions. With such an arrangement, the defect sample may be imaged during the small spot localized etching and/or deposition, and the process may continue until the desired level of decoration or enhancement structure is obtained.


These and other aspects, embodiments, advantages, and features will become apparent from the following description and the referenced drawings.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 illustrates a semiconductor device in cross section;



FIG. 2 illustrates the semiconductor device of FIG. 1 in a vacuum chamber in accordance with an illustrative embodiment;



FIG. 3 illustrates the semiconductor device of FIG. 1 in another illustrative embodiment;



FIG. 4 is a flowchart of the method in accordance with an illustrative embodiment;



FIG. 5 is a block diagram of an electronic device in accordance with an embodiment of the invention; and



FIG. 6 is a diagram of an electronic system having devices in accordance with an embodiment of the invention.





DETAILED DESCRIPTION

The following detailed description refers to the accompanying drawings that show, by way of illustration, specific aspects and embodiments in which the present invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the present invention. Other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the scope of the present invention. The various embodiments are not necessarily mutually exclusive, as some embodiments can be combined with one or more other embodiments to form new embodiments.


The term “horizontal” as used in this application is defined as a plane parallel to the conventional plane or surface of a wafer or substrate, regardless of the orientation of the wafer or substrate. The term “vertical” refers to a direction perpendicular to the horizontal as defined above. Prepositions, such as “on”, “side” (as in “sidewall”), “higher”, “lower”, “over” and “under” are defined with respect to the conventional plane or surface being on the top surface of the wafer or substrate, regardless of the orientation of the wafer or substrate. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present disclosure is defined only by the appended claims, along with the full scope of equivalents to which such claims are entitled.


The described embodiments provide a method for localized accelerated selective chemical etching of an integrated circuit (IC) to improve contrast between different materials on the IC surface, or to deposit materials locally on the surface of the IC to enlarge or decorate defects. The detection of surface defects having ever decreasing dimension may require exaggeration of the structure of the defect. Electron induced chemical etching and deposition can be used to increase the contrast of defects, and to decorate the defects, resulting in increased visibility of surface defects in SEM and optical micrographs. Depositing a material with more favorable imaging properties than the defect or substrate improves imaging efficiency, and may increase the size of the defect. As an illustrative example, it is difficult to detect small nitride flakes produced when a nitride surface is scratched, as may typically occur either by handling, vibration or transportation. The visibility of the nitride flake may be enhanced by depositing a thin layer of chromium on the surface of the IC, either a chip or a wafer. The layer of chromium may be thinner than 2 nm, and may help dissipate charge build up in a SEM image. The chromium has a higher electron yield as compared to nitride, and increases the signal to noise ratio in a SEM image. The addition of the chromium layer to the particle increases the particle size, while the addition of the chromium to the substrate has little proportional effect on the appearance of the substrate, thus improving the visibility of the particle in SEM and in optical microscopes. The chromium is reflective and bright under optical microscopes, particularly in what is known as black field illumination mode, and thus improves the visibility of the particle.


Etching may also be used to enhance imaging efficiency by exaggerating the contours of the defect to increase contrast and visibility, especially along edges and material boundaries. In the illustrative example of a particle formed of a different material than the substrate, the use of an etch composition having a preferential etch rate for the substrate material exaggerates the surface in the vicinity of the particle, due to the masking effect of the particle. The particle may be more visible due to the increased area and topographical height differences caused by the etching of the substrate material in the region near the defect. This method may be particularly useful in enhancing the image of particles formed by environmental contamination such as dust, or for metallic particles from handling the IC with processing tools.


In an embodiment, the localized chemical accelerator is an energetic beam, such as an electron beam, and the excited material is a halogen containing compound, forming a layer on, or immediately above, the surface of the IC in a vacuum chamber, such as inside a scanning electron microscope (SEM). Localized electron beam assisted chemical etching provides a method of localized selective etching or decoration deposition, as may be useful in IC failure analysis of defects.


In an illustrative embodiment, localized etching to increase contrast may occur by passing a halogen containing gaseous material over the surface of the IC chip in the vacuum chamber, and exciting the halogen atoms with an electron beam to form chemical radicals. By controlling the vacuum pressure and the gas flow, the mean free diffusion length (and equivalently the lifetime) of the radicals may be controlled, and the etching of the IC surface may be substantially confined to a desired region around the electron beam. Electrons from the primary beam, electrons scattered from the IC surface, as well as secondary electrons and ionizing radiation emitted from the IC surface may all cause the formation of the radicals by dissociating the individual molecules or atoms of the halogen containing layer. The halogen containing layer may be adsorbed onto the surface of the IC, as may occur when using a source material such as xenon difluoride, which sublimates in a vacuum and may deposit on the surface of the IC.



FIG. 1 illustrates a semiconductor device 100, having a substrate 102, with a series of conductive regions 104 formed in or on the substrate. The conductive regions 104 may be formed from diffused portions of the substrate 102, from doped polysilicon, or from various metals and metal silicides. Above the conductors 104 is a dielectric layer 106, and a gate electrode 108. The gate electrode 108, the gate dielectric 106, and the conductive regions 104, form a typical semiconductor device, with a protective dielectric layer 110, and signal and power interconnections 112 and 114. The various conductive materials 112 and 114 may be pure materials or combinations of materials, such as copper doped aluminum, or may be formed of multiple layers, such as having titanium, or titanium-tungsten, or titanium nitride barrier layers under the conductors 112 or 114. The conductors 112 and 114 are protected from environmental problems such as scratches and ionic contamination by a dielectric layer 116, and selected conductors may electrically connect to the gate electrode 108 by a contact hole 118 in the dielectric layer 110.


The dielectric layer 116 is shown in the illustrated embodiment with a particle 120 on the surface. Examples of such particles may include a chipped piece of the dielectric 116 caused by a handling error such as a scratch, or may be a particle of the same composition as the dielectric 116 that fell upon the device at the end of the deposition process that formed dielectric layer 116. Other examples include metal particles from the machinery that handles and transports the semiconductor wafers in processing, and environmental contaminants. In the case where the particle 120 is of the same basic composition as the dielectric layer 116, detecting and imaging the particle may be difficult, since the particle properties are similar to the background material. The present method provides a method of either removing a small amount of the dielectric layer 116, so that the contrast between the particle 120 and the dielectric 116 may be improved.



FIG. 2 illustrates the semiconductor device of FIG. 1 in a vacuum chamber 232, in an embodiment, a SEM, having a vacuum pump (not shown for simplicity), an inlet 234, and an energetic beam 236, such as an electron beam, which may be movable. The inlet 234 may be a directed gas jet as shown, or a sublimation port, a gas shower head, a bubbler, or a liquid material sprayer, such as an atomizer. The inlet 234 supplies the region around the top surface of the sample with a material that is either reactive, or may be made reactive, such as a halogen containing material. The atoms of the halogen in this illustrative example are shown as floating “H” symbols, some of which are adsorbed onto the surface of the sample, and some diffusing around the chamber 232.


The vacuum chamber 232 has a directed and focused energetic beam device 236, which in an embodiment is a SEM beam, directed to a desired location on the surface of the sample. The electron beam device 236 emits electrons 238 (shown as “e” symbols) which excite the halogen molecules or atoms (H) floating in the vacuum chamber 232, or adsorbed onto the surface of the sample, and form a chemically reactive radical, denoted by “H*”. Due to the limited lifetime of the radicals, the radicals H* are limited to the area around the electron beam 236 shown by the dotted lines 240. The selected radicals H* have a much greater chemical etch rate on the dielectric layer 216, than the halogen molecules or atoms (H), and thus the etching of the two shown pits on either side of the particle 120 (which represents the generally circular or annular etch region in three dimensions) occurs wherever the illustrative electron beam forms the H* radicals. In an illustrative embodiment, the halogen compound is xenon difluoride, which forms fluorine radicals when excited by the electron beam. The fluorine radicals have a large etch rate on the top dielectric layer 216, but the areas immediately under portions of the particle 220 are not etched as rapidly due to shadowing. In such a fashion, it is possible to etch pits in the dielectric 216 to improve the visual and SEM contrast around the particle 120.



FIG. 3 illustrates another illustrative embodiment of the device of FIG. 1, after a period of local deposition of an illustrative decorative layer on the particle 320. In this illustrative embodiment, the potential solution to the problem of imaging and detecting the particle 320 is to decorate the particle by increasing its relative size and electron yield by coating the particle with a conductive material such as chromium. In this embodiment the injector 334 introduces a chromium halide material, indicated as CrH. The illustrative energetic beam apparatus 336 (in this embodiment an electron beam) having sufficient energy to create chemical radicals denoted by Cr*, in a chromium halide material CrH, which is a gas in this embodiment that is either floating in the vacuum in vacuum chamber 332, or adsorbed onto the surface of the IC or onto the surface of the particle 320. The energetic beam causes the formation of a chromium metal layer on the particle 320. In an embodiment, the chromium layer is from 1-100 nanometers in thickness, and decorates the particle for easier detection and evaluation. In an embodiment, the thickness of the chromium metal is determined by SEM observation during the metal deposition, and direct determination of the best image quality. In other embodiments, depending upon the vapor pressure of the chromium containing material under the specific vacuum conditions, the chromium may be in the form of liquid droplets, or a solid formed on the surfaces of the particle and the substrate within the limits of the beam edges 240. In such a fashion, it is possible to cause chromium halide to disassociate and deposit chromium metal to improve the imaging and detection of the particle 320.


In an embodiment, the etching shown in FIG. 2 is combined with the deposition discussed with regard to FIG. 3, to further maximize the defect delectability. In another embodiment, the etching and depositing may be used to remove a layer from the IC and decorate and image a defect in a buried layer. In yet another embodiment, the chemical radicals include a silicon containing material such as silane or TEOS and an oxygen containing material and a hole etched in the IC may be filled to repair the IC and return it to operational condition.



FIG. 4 is a flow diagram showing the method for electron induced chemical etching for device level diagnosis of potential problems. The method starts at 402 with obtaining a sample, such as an IC, for decoration or contrast etch. At 404 the sample is placed in a vacuum chamber, such as a SEM, and the chamber begins to be evacuated at 406. At 408, it is decided whether or not the chamber has been pumped to a desired vacuum pressure, which may be used to control the mean free path of the radicals generated by the electron beam. If the desired pressure is not yet obtained, the method returns to 406. When the proper vacuum level is reached the method uses a beam locator device, such as a SEM, to find the desired location at 410. At 412 the reactive material is injected into the vacuum chamber at a controlled rate, which in conjunction with the control of the vacuum pressure and the beam energy and intensity, may determine the production rate of the chemical radicals. The electron beam is turned on at a desired energy and beam intensity at 414, which depending upon the selected reactive material composition and pressure begins the chemical etching or material deposition, such as chrome, of at least some portion of the sample surface towards which the electron beam is directed. The reaction products are removed by the vacuum system.


At 416, the surface is examined by imaging the etch region with a SEM, and it is determined if the desired level of decoration and/or contrast of the IC and defect has been reached. An endpoint to the etching may be directly observable by SEM by the acquisition of an image of the defect, or the reaction products from any etching that may be done may be analyzed by any of a variety of down stream analytic methods, such as RGA, to determine if the material being etched has a specific composition, such as may occur when a buried layer is reached. Other endpoints may include the time of the etching and decoration deposition, a thickness of the top layer removed by etching, or a thickness of the deposited layer of decoration, such as a metal thickness. The exposed surface and the imaged particle or other defect may also be analyzed by SEM based analysis methods, such as EDAX or XES to determine the properties of the particle. At 418, it is determined whether the current processing of the IC top layer material has been etched or deposit decorated sufficiently to provide optimum defect imaging. If not, the method returns to 412.


If the current layer contrast etching and decoration deposition has been completed, then it is determined at 420 if there is an additional layer that needs to be etched, for example, to enable removal of a particle, or whether there is to be a localized etch or deposition done to fix the defect, as may occur if a metal particle has shorted out two signal or power lines. If not, the method ends at 430.


If there is another process to complete prior to ending the method, then a new reactive material may be injected into the vacuum chamber at 422, the electron beam is turned on to the desired energy level and intensity at 424, and the etch or deposition process result is imaged and analyzed at 426 as previously done at 416. At 428, it is determined if the present process has completed the etching or deposition. If not the method returns to 422. If the additional process is completed and images are formed, then the method ends at 430. In this fashion, the IC defect imaged in the first portion of the exemplary process, may be repaired and returned to service or testing.



FIG. 5 is a block diagram of a general electronic device in accordance with an embodiment of the invention with an electronic system 500 having one or more device defects image enhanced and/or repaired according to various embodiments of the present invention. Electronic system 500 includes a controller 502, a bus 504, and an electronic device 506, where bus 504 provides electrical conductivity between controller 502 and electronic device 506. In various embodiments, controller 502 and/or electronic device 506 include an embodiment for a portion of the device having an IC die defects image enhanced and/or repaired as previously discussed herein. Electronic system 500 may include, but is not limited to, information handling devices, wireless systems, telecommunication systems, fiber optic systems, electro-optic systems, and computers.



FIG. 6 depicts a diagram of an embodiment of a system 600 having a controller 602 and a memory 606. Controller 602 and/or memory 606 include a portion of the circuit having IC devices and memory chips with defects image enhanced and/or repaired in accordance with the disclosed embodiments. System 600 also includes an electronic apparatus 608, and a bus 604, where bus 604 may provide electrical conductivity and data transmission between controller 602 and electronic apparatus 608, and between controller 602 and memory 606. Bus 604 may include an address, a data bus, and a control bus, each independently configured. Bus 604 also uses common conductive lines for providing address, data, and/or control, the use of which may be regulated by controller 602. In an embodiment, electronic apparatus 608 includes additional memory devices configured similarly to memory 606. An embodiment includes an additional peripheral device or devices 610 coupled to bus 604. In an embodiment, controller 602 is a processor. Any of controller 602, memory 606, bus 604, electronic apparatus 608, and peripheral device or devices 610 may include ICs treated in accordance with the disclosed embodiments. System 600 may include, but is not limited to, information handling devices, telecommunication systems, and computers. Peripheral devices 610 may include displays, additional memory, or other control devices operating with controller 602 and/or memory 606.


CONCLUSION

A method is presented for enhancing detection of defects on or under an IC device surface by positioning the sample in a vacuum chamber, and creating a layer of a reactive material in proximity with the surface of the IC. The layer of reactive material may be excited by an energetic beam to form chemical radicals in the region surrounding the energetic beam. The radicals may remove a portion of the surface of the structure by chemical etching until the desired amount of etching needed to improve the contrast ratio between the defect and the sample substrate is obtained. The material removed from the surface may also be analyzed to characterize the material in various ways. With such an arrangement, the defects on an IC chip may be enhanced and made more detectable. The method may also be used to form localized depositions of dielectric or conductive materials as needed to improve the size or conductivity of the defects, which may improve the ability of a scanning electron microscope to image and detect the defects.


The reactive material may comprise various types of halogen in gaseous, liquid or solid form to form an etching ambient that may be either selective for one material over another, or may be non-selective. The reactive material may comprise various metallo-organic or metallo-halide materials to form a deposition ambient, which may also be either selective for deposition on one material and not on another, or may be non-selective and form a deposition relatively evenly over the selected small spot surrounding the energetic beam. In an embodiment, the reactive material is xenon fluoride, which is a solid at standard temperature and pressure, and sublimes in the vacuum chamber. In an embodiment, the reactive material includes chromium, which has a greater conductivity than dielectric materials and thus helps dissipate charge buildup in a SEM image. Chromium has a higher electron yield than dielectric layers, which increases the signal to noise ratio in a SEM image. Chromium also decorates various defects, such as particles, by increasing the size of the defect, making detection of small defects easier.


The reactive material may be directed to the region near the surface of the IC chip by a formed jet of vapor or may simply be allowed to diffuse through the vacuum chamber. The reactive material may be adsorbed onto the surface of the material, or may be a gas in the vicinity of the surface, or may condense or precipitate onto the surface. The reactive material may be a mixture of materials (that is chemical precursors) which react with one another, especially when activated or excited to form chemical radicals by the energetic beam, and may include a material that does not directly interact with the other reactive materials, but rather acts as a reaction catalyst, an inhibitor, promoter, or reaction buffer.


The method of exciting the layer of reactive materials may use an energetic beam such as an electron beam. The electron beam may have a diameter of less than 0.01μ, or greater than 1.0μ, and may typically be about 0.005μ, depending upon the size of the area that is to be etched, or decorated by deposition. The electron beam may have a lower energy or beam intensity to slow the etch rate to improve etch control and relative etch ratios, or may be defocused to etch a wider area. The electron beam may be scanned to cover the desired etch area or to etch a desired shape. The etch areas may be made as small as the electron beam can focus, plus the mean free path of the generated chemical radicals, and the etch area may have a diameter of less than 1.0μ. The electron beam may be part of a scanning electron microscope (SEM), and the SEM may be used to provide an image of the process as etching occurs.


The surface material removed by the chemical radicals during the decoration and contrast operations may be analyzed by well known analytical methods, including downstream analysis systems such as residual gas analyzer (RGA), mass spectroscopy, optical emission spectroscopy, atomic absorption spectroscopy, infrared spectroscopy, and Raman spectroscopy. The surface may be directly analyzed by various methods such as energy dispersive analysis of X-rays (EDAX), XES, or other SEM based analytic methods. This analysis may provide information on the materials present on the surface, and may be used to determine the appropriate etch environment to use in the contrast and decoration processes.


The optimum reactive material may be selected by choosing a chemical radical, or combination of radicals, that preferentially etches one material faster than other materials, such as fluorine radicals etch silicon oxides, and various types of glasses, at a much higher rate than fluorine radicals etch metals or organic materials. By using a radical material or mixture having a high etch ratio for oxides over nitrides, it is possible to substantially remove a glass layer underlying a nitride particle and to thus greatly increase the contrast between the two different dielectric materials, thereby increasing the sensitivity of the SEM image.


In an embodiment, the vacuum chamber and electron beam are a part of a scanning electron microscope (SEM), and the progress of etching and decorating deposition may be observed by the SEM. The etching and deposition operations may be terminated when the SEM image is optimized for the specific combination of materials present.


Another illustrative embodiment of the invention includes a system for localized chemical etching and deposition, including a vacuum chamber and a fixture for positioning a sample, a system, such as a gas inlet jet, for creating a layer of a chemical reactant proximate to the surface of the sample. An energetic beam, such as an electron beam from a SEM, is directed at the surface of the sample to form chemical radicals in the chemical reactant, such as xenon difluoride for an etching ambient, which may etch the sample in the region around the site of the energetic beam. The ambient may also include chromium containing compounds for decoration depositions on the sample. Material removed during an etching process may be analyzed to determine the composition of various portions of the surface of the sample. Areas smaller than one micron in diameter may be etched or deposited to increase the contrast between different materials, or to decorate the surface to improve and enhance defect images on the sample substrate.


An illustrative embodiment of the invention includes an apparatus for enhancing detection of surface defects, including a vacuum chamber with a fixture disposed for positioning a sample chemical radical induced etching and radical induced deposition. There is a material inlet in the vacuum chamber for creating a layer of a selected chemical combination in proximity with the surface of the sample, and an energetic beam, such as an electron beam, having a selected diameter and a selected energy level directed at a selected location on the surface of the sample. The energetic beam forms chemical radicals in the chemical layer near the surface. These radicals etch the surface materials, or deposit materials on the surface. The apparatus includes an etch endpoint detector and an imager for detecting surface defects.


The described embodiments are directed towards the use of an electron beam to activate an adsorbed material forming a layer on an IC chip, and forming chemical radicals to etch the surface material of the IC, but the disclosure is not so limited, and may be applied to other structures and devices, such as printed circuit boards (PCBs), multi-chip modules (MCMs), liquid crystal display (LCD) devices, electronic displays, micro-electromechanical devices (MEMs), or other manufactured electronic or mechanical devices requiring failure analysis testing and material identification. Other means of forming local chemical radicals other than electron beams are included in this disclosure, to include focused microwave beams, laser and maser beams, X-ray and other energetic radiation sources. The material used to form the chemical radicals may be a gas, an evaporated liquid, a sublimed solid, or may be chemically formed by mixing precursor materials at the surface of the structure to be analyzed, or mixed remotely from the surface and either passively or actively transported to the region around the surface of the IC, or other structure. The reactive material may be either adsorbed onto the surface, precipitated onto the surface, or form a fluid layer in proximity to the surface, including a gaseous layer in the region around the IC surface. The generated chemical radicals may be used to selectively etch the surface as described in the described embodiments, or may react with other provided, or already present materials, to form dielectric, conductive or other materials to become a local deposition reaction. Such depositions may be used to refill the previously etched region to return the IC to working condition.


Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement that is calculated to achieve the same purpose may be substituted for the specific embodiments disclosed, described and shown. This application is intended to cover any adaptations or variations of embodiments of the present invention. It is to be understood that the above description is intended to be illustrative, and not restrictive, and that the phraseology or terminology employed herein is for the purpose of description and not of limitation. Combinations of the above embodiments and other embodiments will be apparent to those of skill in the art upon studying the above description. The scope of the present disclosure includes any other applications in which embodiments of the above structures and fabrication methods are used. The scope of the embodiments of the present invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims
  • 1. An apparatus for enhancing detection of surface defects, comprising: a vacuum chamber including a fixture disposed for positioning a sample for at least one of chemical radical induced etching or radical induced deposition;a material inlet in the vacuum chamber for creating a layer of a selected chemical combination in proximity with a surface of the sample;a source of an energetic beam having a diameter and an energy level directed at a selected location on the surface of the sample to form chemical radicals in the layer in proximity with the surface;an etch endpoint detector;an imager for detecting surface defects; anda source of material to decorate a surface defect for imaging.
  • 2. The apparatus of claim 1, further comprising an analysis device for examining material removed from the surface of the sample and the vacuum chamber.
  • 3. The apparatus of claim 2, wherein the analysis device includes at least one of a mass spectrograph, residual gas analyzer, mass spectrograph, optical emission spectrograph, atomic absorption spectrograph, infrared spectrograph, Raman spectrograph, or a scanning electron microscope.
  • 4. The apparatus of claim 1, wherein the material inlet includes at least one of a gas port, a gas showerhead, a gas jet, a sublimation device, a liquid injector, an atomizer, or a bubbler.
  • 5. The apparatus of claim 1, wherein the energetic beam is an electron beam.
  • 6. The apparatus of claim 5, wherein the electron beam is provided by a portion of a scanning electron microscope.
  • 7. The apparatus of claim 6, wherein the electron microscope is disposed to provide images of the localized etch area during at least one of the formation of chemical radicals, the chemical radical induced etching, or the radical induced deposition.
  • 8. The apparatus of claim 1, wherein the selected chemical combination comprises a halogen containing material.
  • 9. The apparatus of claim 1, wherein the selected chemical combination comprises at least one of a chlorocarbon material, a fluorocarbon material, a chlorofluorocarbon material, a silicon containing material, an oxidizer, a metallo-organic, a metallo-halide, or a chemically inert material.
  • 10. The apparatus of claim 1, wherein the selected chemical combination comprises at least one of a gas, a liquid, or a solid.
  • 11. A system for enhancing detection of integrated circuit surface defects, comprising: a vacuum chamber including a fixture for positioning an integrated circuit;an injector for creating a layer of a selected chemical combination in proximity with at least a portion of the surface of the integrated circuit;a source of an energetic beam, the source configured to direct the energetic beam at a selected location on the surface to form chemical radicals;an imaging device for examining the integrated circuit surface; anda source of material to decorate a surface defect for imaging.
  • 12. The system of claim 11, wherein the energetic beam is an electron beam.
  • 13. The system of claim 12, wherein the electron beam is provided by a portion of a scanning electron microscope.
  • 14. The system of claim 11, wherein the selected chemical combination comprises at least one of a halogen containing compound, a chlorocarbon material, a fluorocarbon material, a chlorofluorocarbon material, a silicon containing material, an oxidizer, a metallo-organic, a metallo-halide, or a chemically inert material.
  • 15. The system of claim 11, wherein the means for creating a layer of a selected chemical combination in proximity with the surface of the sample includes a directed gas inlet, a gaseous diffusion head, a sublimation device, a bubbler, a liquid spray device, an atomizer, a material heater, a material cooler and a material mixer.
  • 16. The system of claim 13, wherein the electron microscope provides images of the area of the integrated circuit to which the electron beam is directed during the formation of chemical radicals.
  • 17. The system of claim 14, wherein the selected chemical combination includes xenon difluoride.
  • 18. The apparatus of claim 11, wherein the source of material to decorate a surface defect includes a source of chromium.
US Referenced Citations (127)
Number Name Date Kind
4260649 Dension et al. Apr 1981 A
4543486 Rose Sep 1985 A
4579750 Bowen et al. Apr 1986 A
4581248 Roche Apr 1986 A
4624736 Gee et al. Nov 1986 A
4655849 Schachameyer et al. Apr 1987 A
4668304 Schachameyer et al. May 1987 A
4670063 Schachameyer et al. Jun 1987 A
4670064 Schachameyer et al. Jun 1987 A
4685976 Schachameyer et al. Aug 1987 A
4694777 Roche Sep 1987 A
4832781 Mears May 1989 A
4933206 Cox Jun 1990 A
4938996 Ziv et al. Jul 1990 A
4940505 Schachameyer et al. Jul 1990 A
4980198 Dowben et al. Dec 1990 A
5032435 Biefeld et al. Jul 1991 A
5047649 Hodgson et al. Sep 1991 A
5102830 Sandhu Apr 1992 A
5140164 Talbot et al. Aug 1992 A
5155053 Atkinson Oct 1992 A
5164222 Gottsleben et al. Nov 1992 A
5326981 Hara et al. Jul 1994 A
5387443 Ota et al. Feb 1995 A
5403433 Morrison et al. Apr 1995 A
5429730 Nakamura et al. Jul 1995 A
5438019 Sandhu Aug 1995 A
5472935 Yandrofski et al. Dec 1995 A
5508368 Knapp et al. Apr 1996 A
5622567 Kojima et al. Apr 1997 A
5639342 Chen et al. Jun 1997 A
5641545 Sandhu Jun 1997 A
5648114 Paz De Araujo et al. Jul 1997 A
5682041 Kawakubo et al. Oct 1997 A
5733609 Wang Mar 1998 A
5754297 Nulman May 1998 A
5759923 McMillan et al. Jun 1998 A
5800617 Sandhu Sep 1998 A
5807650 Komano et al. Sep 1998 A
5825035 Mizumura et al. Oct 1998 A
5834331 Razeghi Nov 1998 A
5942854 Ryoji et al. Aug 1999 A
5976328 Azuma et al. Nov 1999 A
5985693 Leedy Nov 1999 A
5989928 Nakata et al. Nov 1999 A
6051287 Marsh Apr 2000 A
6064800 Sandhu May 2000 A
6091071 Franz et al. Jul 2000 A
6113751 Morgenthaler Sep 2000 A
6143085 Marsh Nov 2000 A
6177147 Samukawa et al. Jan 2001 B1
6187492 Ri et al. Feb 2001 B1
6194325 Yang et al. Feb 2001 B1
6214183 Maishev et al. Apr 2001 B1
6281072 Li et al. Aug 2001 B1
6291341 Sharan et al. Sep 2001 B1
6309972 Pio Oct 2001 B1
6310341 Todokoro et al. Oct 2001 B1
6462333 Gersonde Oct 2002 B1
6499425 Sandhu et al. Dec 2002 B1
6573199 Sandhu et al. Jun 2003 B2
6613702 Sandhu et al. Sep 2003 B2
6661005 Bruenger Dec 2003 B1
6683005 Sandhu et al. Jan 2004 B2
6720272 Sandhu et al. Apr 2004 B2
6730367 Sandhu May 2004 B2
6753538 Da et al. Jun 2004 B2
6764856 Holmes et al. Jul 2004 B2
6787783 Marchman et al. Sep 2004 B2
6793736 Sandhu et al. Sep 2004 B2
6797337 Dando et al. Sep 2004 B2
6809317 Vandervorst Oct 2004 B2
6811615 Sun Nov 2004 B2
6838114 Carpenter et al. Jan 2005 B2
6838121 Weimer Jan 2005 B2
6845734 Carpenter et al. Jan 2005 B2
6869479 Shafeev et al. Mar 2005 B2
6897907 Morimitsu May 2005 B2
6911832 Kolachina et al. Jun 2005 B2
7113276 Higgs et al. Sep 2006 B1
7122125 Deshmukh et al. Oct 2006 B2
7238294 Koops et al. Jul 2007 B2
7256405 Nakasuji et al. Aug 2007 B2
7262555 Rueger et al. Aug 2007 B2
7303690 Amemiya et al. Dec 2007 B2
7311947 Dando et al. Dec 2007 B2
7452477 Koops et al. Nov 2008 B2
7569484 Rueger et al. Aug 2009 B2
20020173124 Joo Nov 2002 A1
20020182542 Choi et al. Dec 2002 A1
20030047691 Musil et al. Mar 2003 A1
20030170389 Sandhu Sep 2003 A1
20030201391 Shinada et al. Oct 2003 A1
20040036398 Jin Feb 2004 A1
20040048398 Liang et al. Mar 2004 A1
20040091638 Haight et al. May 2004 A1
20040097076 Iyer et al. May 2004 A1
20040113097 Marchman et al. Jun 2004 A1
20040124348 Utz et al. Jul 2004 A1
20040140437 Bukofsky et al. Jul 2004 A1
20040151991 Stewart et al. Aug 2004 A1
20050078462 Dando et al. Apr 2005 A1
20050087514 Koops et al. Apr 2005 A1
20050212092 Nishizawa Sep 2005 A1
20050253093 Gorski et al. Nov 2005 A1
20050266168 Poullos Dec 2005 A1
20060134920 Liang Jun 2006 A1
20060147814 Liang Jul 2006 A1
20060154477 Geng et al. Jul 2006 A1
20060183055 O'Neill et al. Aug 2006 A1
20060201911 Edelberg et al. Sep 2006 A1
20060228634 Bret et al. Oct 2006 A1
20060288937 Dando et al. Dec 2006 A1
20060289969 Dando et al. Dec 2006 A1
20070158303 Nasser-Ghodsi et al. Jul 2007 A1
20070158304 Nasser-Ghodsi et al. Jul 2007 A1
20070228002 Geng et al. Oct 2007 A1
20070228296 Mouttet Oct 2007 A1
20070257212 Mouttet Nov 2007 A1
20070278180 Williamson et al. Dec 2007 A1
20080006603 Williamson et al. Jan 2008 A1
20080009140 Williamson et al. Jan 2008 A1
20080038863 Rueger et al. Feb 2008 A1
20080038894 Rueger et al. Feb 2008 A1
20080038928 Rueger et al. Feb 2008 A1
20080038933 Rueger et al. Feb 2008 A1
20090288603 Rueger et al. Nov 2009 A1
Foreign Referenced Citations (11)
Number Date Country
0756318 Jan 1997 EP
1363164 Nov 2003 EP
09064030 Mar 1997 JP
2004-257845 Sep 2004 JP
WO-2008008156 Jan 2008 WO
WO-2008008156 Jan 2008 WO
WO-2008008157 Jan 2008 WO
WO-2008008159 Jan 2008 WO
WO-2008008159 Jan 2008 WO
WO-2008021363 Feb 2008 WO
WO-2008021363 Feb 2008 WO
Related Publications (1)
Number Date Country
20080006786 A1 Jan 2008 US