An integrated circuit (IC) typically includes a number of semiconductor devices represented in an IC layout diagram. An IC layout diagram is hierarchical and includes modules which carry out higher-level functions in accordance with the semiconductor device's design specifications. The modules are often built from a combination of cells, each of which represents one or more semiconductor structures configured to perform a specific function. Cells having pre-designed layout diagrams, sometimes known as standard cells, are stored in standard cell libraries (hereinafter “libraries” or “cell libraries” for simplicity) and accessible by various tools, such as electronic design automation (EDA) tools, to generate, optimize and verify designs for ICs.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components, materials, values, steps, operations, materials, arrangements, or the like, are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. Other components, values, operations, materials, arrangements, or the like, are contemplated. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. Source/drain(s) may refer to a source or a drain, individually or collectively dependent upon the context.
A cell has a conductive region (also referred to as “MD region” described herein) for making electrical contact with an active region of the cell. In some embodiments, cells have MD regions on edges of the boundaries of the cells. When two cells are placed in abutment with each other, the edges with the MD regions thereon abut each other. This is different from other approaches in which cells abut each other along edges with dummy gate regions thereon. Compared to the other approaches, it is possible in at least one embodiment to achieve one or more advantages including, but not limited to, reduced cell width, increased gate density, or the like.
A function cell is a cell pre-designed to provide a specific function to an IC incorporating such a function cell. Examples of function cells include, but are not limited to, a logic gate cell, a memory cell, or the like. Examples of logic gate cells include, but are not limited to, AND, OR, NAND, NOR, XOR, INV, AND-OR-Invert (AOI), OR-AND-Invert (OAI), MUX, Flip-flop, BUFF, Latch, delay, clock, or the like. Examples of memory cells include, but are not limited to, a static random access memory (SRAM), a dynamic RAM (DRAM), a resistive RAM (RRAM), a magnetoresistive RAM (MRAM), a read only memory (ROM) cell, or another type of cell capable of having multiple states representative of logical values.
An ECO cell is a cell pre-designed without a specific function, but is programmable to provide an intended function. For example, to design an IC, the pre-designed layouts of one or more function cells are read out from a standard cell library and placed into an initial IC layout. The IC layout also includes one or more ECO cells which are not yet connected or routed to the function cells. When the IC layout is to be revised, one or more of the already placed ECO cells are programed to provide an intended function and routed to the function cells. The programing of ECO cells involves modifications in one or more layers of the IC layout and/or masks for manufacturing the IC.
A filler cell is a cell with no logical functionality, and is not connected or routed to other cells in an IC layout diagram. A purpose of filler cells is to fill an empty space in an IC layout diagram, for example, to satisfy one or more design rules, such as minimum spacing between adjacent features. Cells other than filler cells are referred to herein as “non-filler cells.”
A physical cell is a cell configured to provide a function, other than a logic function, to an IC incorporating such physical cell. Examples of physical cells include, but are not limited to, a TAP cell, a DCAP cell, or the like. A TAP cell defines a region in a doped well where the doped well is coupled to a bias voltage, such as a power supply voltage. TAP cells are included in an IC layout diagram, e.g., to improve latch-up immunity of ICs manufactured in accordance with the IC layout diagram. A DCAP cell includes one or more decoupling capacitors (decap) between power buses or rails, e.g., as a charge reservoir to provide additional power in situations where there is a high demand for current from the power supply.
In the example circuit diagram in
In the example layout diagram in
The first active region 110 and the second active region 120 are arranged inside the boundary 150, and extend along a first direction, i.e., X direction. Active regions are sometimes referred to as oxide-definition (OD) regions, and are schematically illustrated in the drawings with the label “OD.” The X direction is sometimes referred to as the OD direction. The first active region 110 and the second active region 120 include P-type dopants and/or N-type dopants to form one or more circuit elements or devices. Examples of circuit elements include, but are not limited to, transistors and diodes. Examples of transistors include, but are not limited to, metal oxide semiconductor field effect transistors (MOSFET), complementary metal oxide semiconductor (CMOS) transistors, bipolar junction transistors (BJT), high voltage transistors, high frequency transistors, P-channel and/or N-channel field effect transistors (PFETs/NFETs), etc.), FinFETs, planar MOS transistors with raised source/drains, nanosheet FETs, nanowire FETs, or the like. An active region configured to form one or more PMOS devices therein is referred to herein as “PMOS active region,” and an active region configured to form one or more NMOS devices therein is referred to herein as “NMOS active region.” For example, the first active region 110 is a PMOS active region configured to form, together with the gate region 130, the PMOS transistor of the inverter as described with respect to
The gate region 130 is arranged inside the boundary 150, and extends across the PMOS active region 110 and the NMOS active region 120 along a second direction, i.e., Y direction, which is transverse to the X direction. The gate region 130 includes a conductive material, such as, polysilicon, and is schematically illustrated in the drawings with the label “PO.” The Y direction is sometimes referred to as the Poly direction. Other conductive materials for the gate region, such as metals, are within the scope of various embodiments. The cell 100 comprises a single gate region. This is an example, and other cells in various embodiments include more than one gate regions. In the example configuration in
The conductive regions 141, 143 overlap and are configured to form electrical connections to the PMOS active region 110, whereas the conductive regions 142, 144 overlap and are configured to form electrical connections to the NMOS active region 120. The conductive regions 141, 142, 143, 144 are referred to herein as “MD regions,” i.e., metal-zero-over-oxide regions, and are schematically illustrated in the drawings with the label “MD.” An MD region includes a conductive material formed over a corresponding active region to define an electrical connection from one or more devices formed in the active region to other internal circuitry of the IC or to outside circuitry. In at least one embodiment, the MD regions 141, 142, 143, 144 are formed of metal and belong to a first metal layer of an IC, referred to herein as “MO layer,” i.e., metal-zero (MO) layer, which is the lowermost metal layer immediately over the active regions. MD regions are arranged alternatively with gate regions in the X direction. In some embodiments, a pitch between adjacent MD regions in the X direction, i.e., a distance in the X direction between center lines of the adjacent MD regions, is equal to a pitch CPP between adjacent gate regions in the X direction, for example, as described with respect to
The boundary 150 comprises the edges 151, 152, 153, 154 connected together to form a closed boundary of the cell 100. In a place-and-route operation (also referred to as “automated placement and routing (APR)”) described herein, cells are placed in an IC layout diagram in abutment with each other at their respective boundaries. For example, the cell 100 is placed in abutment with other cells in the X direction at the edges 151, 152, as described herein. The cell 100 is further placed in abutment with other cells in the Y direction at the edges 153, 154. The boundary 150 is sometimes referred to as “place-and-route boundary” and is schematically illustrated in the drawings with the label “PrB.” The rectangular shape of the boundary 150 is an example. Other boundary shapes for various cells are within the scope of various embodiments.
The MD regions 141, 142, 143, 144 are arranged along and overlap edges of the boundary 150. For example, the MD regions 141, 142 are arranged along and overlap the edge 151, and the MD regions 143, 144 are arranged along and overlap the edge 152. In at least one embodiment, the edge 151 coincides with a center line of each of the MD regions 141, 142 in the X direction. In other words, the edge 151 bisects a width of each of the MD regions 141, 142 in the X direction. In at least one embodiment, the edge 152 coincides with a center line of each of the MD regions 143, 144 in the X direction. The width of the boundary 150 is the distance between the edges 151, 152, and is equal to one pitch CPP between the adjacent MD regions (e.g., between the MD regions 141, 143, or between the MD regions 142, 144) in the X direction. In the example configuration in
Similar to the cell 100, each of the filler cells 200A-200E comprises a PMOS active region 210, an NMOS active region 220, a gate region 230 across the active regions 210, 220, and MD regions 241-244 on a boundary 250 of the filler cell along edges 251, 252 opposite each other in the X direction. For simplicity, the reference numerals 210, 220, 230, 250 are illustrated for the filler cell 200A, and one or more of the reference numerals 210, 220, 230, 250 are omitted for the other filler cells 200B-200E. Each of the filler cells 200A-200E also has a width of one pitch CPP in the X direction.
A difference between the filler cells 200A-200E and the cell 100 is that the gate region 230 in each of the filler cells 200A-200E is a dummy gate region, schematically illustrated in the drawings with the label “CPODE.” For example, in the filler cell 200A, the dummy gate region 230 includes a P section over the PMOS active region 210, and an N section over the NMOS active region 220. Unlike the gate region 130 of the cell 100 which is electrically coupled by further via and/or metal layers to one or more other cells, the dummy gate region 230 is not electrically coupled to other cells. The configuration in
A further difference between the filler cells 200A-200E and the cell 100 is that, although an MD region in the filler cells 200A-200E is indicated in
The filler cells 200A-200E differ from each other in the designation and/or configuration of the MD regions 241-244 as drain side MD region(s) and/or source side MD region(s). For example, the filler cell 200A includes four drain side MD regions 241-244, the filler cell 200B includes two drain side MD regions 241, 243 and two source side MD regions 242, 244, the filler cell 200C includes two source side MD regions 241, 243 and two drain side MD regions 242, 244, the filler cell 200D includes two drain side MD regions 243, 244 and two source side MD regions 241, 242, and the filler cell 200E includes two drain side MD regions 241, 244 and two source side MD regions 242, 243. In one or more embodiments, the different configurations of the filler cells 200A-200E ensure the availability of a filler cell which is insertable, in the X direction, between any pair of non-filler cells in a place-and-route operation, despite various possible combinations of drain side MD regions and/or source side MD regions along opposing edges of the pair of non-filler cells. Several non-exhaustive examples are described with respect to
In the place-and-route operation, the cell 100 is placed to abut the cell 100′. Specifically, the edge 152 of the cell 100 with the source side MD regions 143, 144 thereon is placed to coincide with an edge 152′ of the cell 100′ with the source side MD regions 143′, 144′ thereon. As a result, a common edge 352 is obtained from the overlapping edges 152, 152′. In other words, the boundary 150 of the cell 100 is placed to abut a boundary 150′ of the cell 100′ along the common edge 352. In addition, the source side MD region 143 of the cell 100 is merged with the corresponding source side MD region 143′ of the cell 100′, resulting in a source side MD region 343 in the IC device 300. The source side MD region 144 of the cell 100 is merged with the corresponding source side MD region 144′ of the cell 100′, resulting in a source side MD region 344 in the IC device 300. The source side MD regions 343, 344 in the IC device 300 overlap the common edge 352. The PMOS active region 110 of the cell 100 is continuous with the PMOS active region 110′ of the cell 100′ at the common edge 352, resulting in a combined PMOS active region 310 of the IC device 300. The NMOS active region 120 of the cell 100 is continuous with the NMOS active region 120′ of the cell 100′ at the common edge 352, resulting in a combined NMOS active region 320 of the IC device 300. The IC device 300 further comprises the MD regions 141, 142 and the gate region 130 of the cell 100, as well as the MD regions 141′, 142′ and the gate region 130′ of the cell 100′. The gate regions 130, 130′ are adjacent to each other in the X direction, and arranged at a pitch CPP which is a distance between a center line of the gate region 130 and a centerline of the gate region 130′. As described herein, the pitch CPP between adjacent gate regions of the IC device 300 is the same as the pitch between adjacent MD regions. The abutted cells in the IC device 300 have a width of 2 CPP which is the sum of the widths of the cell 100 and cell 100′.
In at least one embodiment, a direct abutment of a first cell and a second cell as described with respect to
In at least one embodiment, when at least one of the MD regions along the opposing edges of the first and second cells is a drain side MD region, an insertion of a filler cell between the first and second cells is performed. A reason is that a potential or voltage to be supplied to a drain side MD region in operation is variable. For example, a potential or voltage to be supplied to a drain side MD region is the potential or voltage to be supplied to a drain region of a PMOS or NMOS transistor or device, i.e., a variable signal, for example, at an output node OUT described with respect to
A difference between
In some embodiments, the filler cell to be inserted between the cell 100 and cell 100′ in
The abutment between the filler cell 200A and the cell 100, and the abutment between the filler cell 200A and the cell 100′ in
A difference between
The cell 800 and cell 800′ are not placed in a direct abutment with each other, because at least one of the MD regions (i.e., 841, 843′) along the opposing edges 851, 852′ is a drain side MD region, and insertion of a filler cell between the cell 800 and cell 800′ is performed. In some embodiments, the filler cell 200B to be inserted between the cell 800 and cell 800′ in
The cell 100, cell 100′, cell 500, cell 500′, cell 800 are non-exhaustive examples of non-filler cells within the scope of various embodiments. The filler cells 200A-200E are non-exhaustive examples of filler cells within the scope of various embodiments. Together, the cell 100, cell 100′, cell 500, cell 500′, cell 800 and filler cells 200A-200E are non-exhaustive examples of cells within the scope of various embodiments. In at least one embodiment, a plurality of such cells are stored in a standard cell library on a non-transitory computer-readable medium. The cells in the standard cell library are then placed in abutment to generate IC layout diagrams for various ICs. The abutments of cells as described with respect to
In some embodiments, by arranging MD regions of a cell on opposite edges of a boundary of the cell, it is possible to reduce a width of the cell. For example, the width of an inverter cell, such as an INVD1 cell in
In some embodiments, one or more operations of the method 1000A are performed as part of a method of forming one or more IC devices corresponding to the IC devices 300, 400, 600, 700, 900 described herein. In some embodiments, one or more operations of the method 1000A are performed as part of an automated placement and routing (APR) method. In some embodiments, one or more operations of the method 1000A are performed by an APR system, e.g., a system included in an EDA system described with respect to
At operation 1005, a first active region is arranged inside a boundary of a cell. For example, an active region 110 or 120 is arranged inside a boundary 150 of a cell 100, as described with respect to
At operation 1010, at least one gate region is arranged inside the boundary and extending across the first active region. For example, at least one gate region 130 is arranged inside the boundary 150 and extending across the active region 110 or 120, as described with respect to
At operation 1015, a first conductive region is arranged to overlap the first active region and a first edge of the boundary, and the first conductive region is configured to make electrical contact with the first active region. For example, an MD region 141, 142, 143 or 144 is arranged to overlap the active region 110 or 120 and an edge 151 or 152 of the boundary 150, and the MD region 141, 142, 143 or 144 is configured to form an electrical connection to the active region 110 or 120. For another example, an MD region 241, 242, 243 or 244 is arranged to overlap the active region 210 or 220 and an edge 251 or 252 of the boundary 250, and the MD region 241, 242, 243 or 244 is configured to form an electrical connection to the active region 210 or 220, as described with respect to any of filler cells 200A-200E in
At operation 1020, the generated layout diagram is stored on a non-transitory computer-readable medium. For example, one or more layout diagrams for one or more cells described with respect to
At operation 1025, based on the generated layout diagram, at least one of a semiconductor mask or a component in a layer of an IC is fabricated, for example, as described with respect to
In some embodiments, one or more operations of the method 1000B are performed as part of forming one or more IC devices corresponding to the IC devices 300, 400, 600, 700, 900 described herein. In some embodiments, one or more operations of the method 1000B are performed as part of an APR method. In some embodiments, one or more operations of the method 1000B are performed by an APR system, e.g., a system included in an EDA system described with respect to
At operation 1030, a first cell is placed in abutment with a second cell in an IC layout diagram, so that a boundary of the first cell abuts a boundary of the second cell along a first common edge, and a first conductive region (MD) of the first cell is merged with a second conductive region (MD) of the second cell into a first common conductive region overlapping the first common edge.
For example, as described with respect to
For another example, as described with respect to
At operation 1035, a third cell is placed in abutment with the second cell in the IC layout diagram, so that a boundary of the third cell abuts the boundary of the second cell along a second common edge, and a third conductive region (MD) of the third cell is merged with a fourth conductive region (MD) of the second cell into a second common conductive region overlapping the second common edge.
For example, as described with respect to
At operation 1040, the generated IC layout diagram is stored on a non-transitory computer-readable medium. For example, one or more IC layout diagrams for one or more IC devices described with respect to
At operation 1045, based on the generated IC layout diagram, at least one of a semiconductor mask or a component in a layer of an IC is fabricated, for example, as described with respect to
In some embodiments, one or more cells, IC devices, and methods described are applicable to various types of transistor or device technologies including, but not limited to, planar transistor technology, FINFET technology, nanosheet FET technology, nanowire FET technology, or the like.
As shown in
As shown in
As shown in
As shown in
In
In
In
In
In
In
In
The described methods include example operations, but they are not necessarily required to be performed in the order shown. Operations may be added, replaced, changed order, and/or eliminated as appropriate, in accordance with the spirit and scope of embodiments of the disclosure. Embodiments that combine different features and/or different embodiments are within the scope of the disclosure and will be apparent to those of ordinary skill in the art after reviewing this disclosure.
In some embodiments, some or all of the methods discussed above are performed by an IC layout diagram generation system. In some embodiments, an IC layout diagram generation system is usable as part of a design house of an IC manufacturing system discussed below.
In some embodiments, EDA system 1600 includes an APR system. Methods described herein of designing layout diagrams represent wire routing arrangements, in accordance with one or more embodiments, are implementable, for example, using EDA system 1600, in accordance with some embodiments.
In some embodiments, EDA system 1600 is a general purpose computing device including a hardware processor 1602 and a non-transitory, computer-readable storage medium 1604. Storage medium 1604, amongst other things, is encoded with, i.e., stores, computer program code 1606, i.e., a set of executable instructions. Execution of instructions 1606 by hardware processor 1602 represents (at least in part) an EDA tool which implements a portion or all of the methods described herein in accordance with one or more embodiments (hereinafter, the noted processes and/or methods).
Processor 1602 is electrically coupled to computer-readable storage medium 1604 via a bus 1608. Processor 1602 is also electrically coupled to an I/O interface 1610 by bus 1608. A network interface 1612 is also electrically connected to processor 1602 via bus 1608. Network interface 1612 is connected to a network 1614, so that processor 1602 and computer-readable storage medium 1604 are capable of connecting to external elements via network 1614. Processor 1602 is configured to execute computer program code 1606 encoded in computer-readable storage medium 1604 in order to cause system 1600 to be usable for performing a portion or all of the noted processes and/or methods. In one or more embodiments, processor 1602 is a central processing unit (CPU), a multi-processor, a distributed processing system, an application specific integrated circuit (ASIC), and/or a suitable processing unit.
In one or more embodiments, computer-readable storage medium 1604 is an electronic, magnetic, optical, electromagnetic, infrared, and/or a semiconductor system (or apparatus or device). For example, computer-readable storage medium 1604 includes a semiconductor or solid-state memory, a magnetic tape, a removable computer diskette, a random access memory (RAM), a read-only memory (ROM), a rigid magnetic disk, and/or an optical disk. In one or more embodiments using optical disks, computer-readable storage medium 1604 includes a compact disk-read only memory (CD-ROM), a compact disk-read/write (CD-R/W), and/or a digital video disc (DVD).
In one or more embodiments, storage medium 1604 stores computer program code 1606 configured to cause system 1600 (where such execution represents (at least in part) the EDA tool) to be usable for performing a portion or all of the noted processes and/or methods. In one or more embodiments, storage medium 1604 also stores information which facilitates performing a portion or all of the noted processes and/or methods. In one or more embodiments, storage medium 1604 stores library 1607 of standard cells including such standard cells as disclosed herein.
EDA system 1600 includes I/O interface 1610. I/O interface 1610 is coupled to external circuitry. In one or more embodiments, I/O interface 1610 includes a keyboard, keypad, mouse, trackball, trackpad, touchscreen, and/or cursor direction keys for communicating information and commands to processor 1602.
EDA system 1600 also includes network interface 1612 coupled to processor 1602. Network interface 1612 allows system 1600 to communicate with network 1614, to which one or more other computer systems are connected. Network interface 1612 includes wireless network interfaces such as BLUETOOTH, WIFI, WIMAX, GPRS, or WCDMA; or wired network interfaces such as ETHERNET, USB, or IEEE-1364. In one or more embodiments, a portion or all of noted processes and/or methods, is implemented in two or more systems 1600.
System 1600 is configured to receive information through I/O interface 1610. The information received through I/O interface 1610 includes one or more of instructions, data, design rules, libraries of standard cells, and/or other parameters for processing by processor 1602. The information is transferred to processor 1602 via bus 1608. EDA system 1600 is configured to receive information related to a UI through I/O interface 1610. The information is stored in computer-readable medium 1604 as user interface (UI) 1642.
In some embodiments, a portion or all of the noted processes and/or methods is implemented as a standalone software application for execution by a processor. In some embodiments, a portion or all of the noted processes and/or methods is implemented as a software application that is a part of an additional software application. In some embodiments, a portion or all of the noted processes and/or methods is implemented as a plug-in to a software application. In some embodiments, at least one of the noted processes and/or methods is implemented as a software application that is a portion of an EDA tool. In some embodiments, a portion or all of the noted processes and/or methods is implemented as a software application that is used by EDA system 1600. In some embodiments, a layout diagram which includes standard cells is generated using a tool such as VIRTUOSO® available from CADENCE DESIGN SYSTEMS, Inc., or another suitable layout generating tool.
In some embodiments, the processes are realized as functions of a program stored in a non-transitory computer readable recording medium. Examples of a non-transitory computer readable recording medium include, but are not limited to, external/removable and/or internal/built-in storage or memory unit, e.g., one or more of an optical disk, such as a DVD, a magnetic disk, such as a hard disk, a semiconductor memory, such as a ROM, a RAM, a memory card, and the like.
In
Design house (or design team) 1720 generates an IC design layout diagram 1722. IC design layout diagram 1722 includes various geometrical patterns designed for an IC device 1760. The geometrical patterns correspond to patterns of metal, oxide, or semiconductor layers that make up the various components of IC device 1760 to be fabricated. The various layers combine to form various IC features. For example, a portion of IC design layout diagram 1722 includes various IC features, such as an active region, gate electrode, source and drain, metal lines or vias of an interlayer interconnection, and openings for bonding pads, to be formed in a semiconductor substrate (such as a silicon wafer) and various material layers disposed on the semiconductor substrate. Design house 1720 implements a proper design procedure to form IC design layout diagram 1722. The design procedure includes one or more of logic design, physical design or place-and-route operation. IC design layout diagram 1722 is presented in one or more data files having information of the geometrical patterns. For example, IC design layout diagram 1722 can be expressed in a GDSII file format or DFII file format.
Mask house 1730 includes data preparation 1732 and mask fabrication 1744. Mask house 1730 uses IC design layout diagram 1722 to manufacture one or more masks 1745 to be used for fabricating the various layers of IC device 1760 according to IC design layout diagram 1722. Mask house 1730 performs mask data preparation 1732, where IC design layout diagram 1722 is translated into a representative data file (“RDF”). Mask data preparation 1732 provides the RDF to mask fabrication 1744. Mask fabrication 1744 includes a mask writer. A mask writer converts the RDF to an image on a substrate, such as a mask (reticle) 1745 or a semiconductor wafer 1753. The design layout diagram 1722 is manipulated by mask data preparation 1732 to comply with particular characteristics of the mask writer and/or requirements of IC fab 1750. In
In some embodiments, mask data preparation 1732 includes optical proximity correction (OPC) which uses lithography enhancement techniques to compensate for image errors, such as those that can arise from diffraction, interference, other process effects and the like. OPC adjusts IC design layout diagram 1722. In some embodiments, mask data preparation 1732 includes further resolution enhancement techniques (RET), such as off-axis illumination, sub-resolution assist features, phase-shifting masks, other suitable techniques, and the like or combinations thereof. In some embodiments, inverse lithography technology (ILT) is also used, which treats OPC as an inverse imaging problem.
In some embodiments, mask data preparation 1732 includes a mask rule checker (MRC) that checks the IC design layout diagram 1722 that has undergone processes in OPC with a set of mask creation rules which contain certain geometric and/or connectivity restrictions to ensure sufficient margins, to account for variability in semiconductor manufacturing processes, and the like. In some embodiments, the MRC modifies the IC design layout diagram 1722 to compensate for limitations during mask fabrication 1744, which may undo part of the modifications performed by OPC in order to meet mask creation rules.
In some embodiments, mask data preparation 1732 includes lithography process checking (LPC) that simulates processing that will be implemented by IC fab 1750 to fabricate IC device 1760. LPC simulates this processing based on IC design layout diagram 1722 to create a simulated manufactured device, such as IC device 1760. The processing parameters in LPC simulation can include parameters associated with various processes of the IC manufacturing cycle, parameters associated with tools used for manufacturing the IC, and/or other aspects of the manufacturing process. LPC takes into account various factors, such as aerial image contrast, depth of focus (“DOF”), mask error enhancement factor (“MEEF”), other suitable factors, and the like or combinations thereof. In some embodiments, after a simulated manufactured device has been created by LPC, if the simulated device is not close enough in shape to satisfy design rules, OPC and/or MRC are be repeated to further refine IC design layout diagram 1722.
It should be understood that the above description of mask data preparation 1732 has been simplified for the purposes of clarity. In some embodiments, data preparation 1732 includes additional features such as a logic operation (LOP) to modify the IC design layout diagram 1722 according to manufacturing rules. Additionally, the processes applied to IC design layout diagram 1722 during data preparation 1732 may be executed in a variety of different orders.
After mask data preparation 1732 and during mask fabrication 1744, a mask 1745 or a group of masks 1745 are fabricated based on the modified IC design layout diagram 1722. In some embodiments, mask fabrication 1744 includes performing one or more lithographic exposures based on IC design layout diagram 1722. In some embodiments, an electron-beam (e-beam) or a mechanism of multiple e-beams is used to form a pattern on a mask (photomask or reticle) 1745 based on the modified IC design layout diagram 1722. Mask 1745 can be formed in various technologies. In some embodiments, mask 1745 is formed using binary technology. In some embodiments, a mask pattern includes opaque regions and transparent regions. A radiation beam, such as an ultraviolet (UV) beam, used to expose the image sensitive material layer (e.g., photoresist) which has been coated on a wafer, is blocked by the opaque region and transmits through the transparent regions. In one example, a binary mask version of mask 1745 includes a transparent substrate (e.g., fused quartz) and an opaque material (e.g., chromium) coated in the opaque regions of the binary mask. In another example, mask 1745 is formed using a phase shift technology. In a phase shift mask (PSM) version of mask 1745, various features in the pattern formed on the phase shift mask are configured to have proper phase difference to enhance the resolution and imaging quality. In various examples, the phase shift mask can be attenuated PSM or alternating PSM. The mask(s) generated by mask fabrication 1744 is used in a variety of processes. For example, such a mask(s) is used in an ion implantation process to form various doped regions in semiconductor wafer 1753, in an etching process to form various etching regions in semiconductor wafer 1753, and/or in other suitable processes.
IC fab 1750 is an IC fabrication business that includes one or more manufacturing facilities for the fabrication of a variety of different IC products. In some embodiments, IC Fab 1750 is a semiconductor foundry. For example, there may be a manufacturing facility for the front end fabrication of a plurality of IC products (front-end-of-line (FEOL) fabrication), while a second manufacturing facility may provide the back end fabrication for the interconnection and packaging of the IC products (back-end-of-line (BEOL) fabrication), and a third manufacturing facility may provide other services for the foundry business.
IC fab 1750 includes fabrication tools 1752 configured to execute various manufacturing operations on semiconductor wafer 1753 such that IC device 1760 is fabricated in accordance with the mask(s), e.g., mask 1745. In various embodiments, fabrication tools 1752 include one or more of a wafer stepper, an ion implanter, a photoresist coater, a process chamber, e.g., a CVD chamber or LPCVD furnace, a CMP system, a plasma etch system, a wafer cleaning system, or other manufacturing equipment capable of performing one or more suitable manufacturing processes as discussed herein.
IC fab 1750 uses mask(s) 1745 fabricated by mask house 1730 to fabricate IC device 1760. Thus, IC fab 1750 at least indirectly uses IC design layout diagram 1722 to fabricate IC device 1760. In some embodiments, semiconductor wafer 1753 is fabricated by IC fab 1750 using mask(s) 1745 to form IC device 1760. In some embodiments, the IC fabrication includes performing one or more lithographic exposures based at least indirectly on IC design layout diagram 1722. Semiconductor wafer 1753 includes a silicon substrate or other proper substrate having material layers formed thereon. Semiconductor wafer 1753 further includes one or more of various doped regions, dielectric features, multilevel interconnects, and the like (formed at subsequent manufacturing steps).
Details regarding an integrated circuit (IC) manufacturing system (e.g., system 1700 of
In some embodiments, an integrated circuit (IC) device comprises first to fourth circuits configured to perform corresponding functions. The first to fourth circuits correspondingly comprise first to fourth active regions extending along a first direction. The first to fourth circuits further comprise a plurality of gate regions extending along a second direction transverse to the first direction. Adjacent gate regions among the plurality of gate regions are spaced from each other along the first direction by one gate region pitch. The first active region and the second active region correspondingly have a first source/drain region and a second source/drain region spaced from each other, along the first direction, by one gate region pitch. The first source/drain region is a drain region. The plurality of gate regions comprises a dummy gate region between the first source/drain region and the second source/drain region. The third active region and the fourth active region share a common source region.
In some embodiments, an integrated circuit (IC) device comprises first and second circuits configured to perform corresponding functions. The first circuit comprises first and second active regions extending along a first direction and spaced from each other along a second direction transverse to the first direction. The second circuit comprises third and fourth active regions extending along the first direction and spaced from each other along the second direction. A first common conductive region is over and electrically coupled to a first common source region which is common to both the first active region and the third active region. A second common conductive region is over and electrically coupled to a second common source region which is common to both the second active region and the fourth active region.
In some embodiments, an integrated circuit (IC) device comprises first and second circuits configured to perform corresponding functions. The first and second circuits correspondingly comprise first and second active regions extending along a first direction. The first and second circuits further comprise a plurality of gate regions extending along a second direction transverse to the first direction. Adjacent gate regions among the plurality of gate regions are spaced from each other along the first direction by one gate region pitch (CPP). A first common conductive region is over and electrically coupled to a first source/drain region common to both the first active region and the second active region. At least one of the first circuit or the second circuit is an inverter having a width of 1 CPP along the first direction, or a NAND gate having a width of 2 CPPs along the first direction.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
The present application is a continuation application of U.S. patent application Ser. No. 18/156,605, filed Jan. 19, 2023, which is a continuation application of U.S. patent application Ser. No. 16/910,658, filed Jun. 24, 2020, now U.S. Pat. No. 11,574,900, issued Feb. 7, 2023. The entire contents of the above-referenced patent(s) and applications are incorporated by reference herein.
Number | Date | Country | |
---|---|---|---|
Parent | 18156605 | Jan 2023 | US |
Child | 18785842 | US | |
Parent | 16910658 | Jun 2020 | US |
Child | 18156605 | US |