Intrench profile

Information

  • Patent Grant
  • 8927390
  • Patent Number
    8,927,390
  • Date Filed
    Friday, September 21, 2012
    11 years ago
  • Date Issued
    Tuesday, January 6, 2015
    9 years ago
Abstract
A method of etching a recess in a semiconductor substrate is described. The method may include forming a dielectric liner layer in a trench of the substrate where the liner layer has a first density. The method may also include depositing a second dielectric layer at least partially in the trench on the liner layer. The second dielectric layer may initially be flowable following the deposition, and have a second density that is less than the first density of the liner. The method may further include exposing the substrate to a dry etchant, where the etchant removes a portion of the first liner layer and the second dielectric layer to form a recess, where the dry etchant includes a fluorine-containing compound and molecular hydrogen, and where the etch rate ratio for removing the first dielectric liner layer to removing the second dielectric layer is about 1:1.2 to about 1:1.
Description
BACKGROUND

Semiconductor processing often include many distinct manufacturing steps. With the current state of technology, circuit components are routinely formed on nanometer scales, and sensitive manufacturing techniques are required. For instance, with integration schemes for shallow-trench-isolation (“STI”) gate formation, a sacrificial film must be removed preferentially in the presence of a selective material in a nanometer thin trench. As semiconductor technology continues to evolve, these semiconductor substrate trenches continue to shrink in width, which makes film removal even more difficult.


These small width trenches create a need for delicate etching techniques. Although a variety of etch techniques are available, few provide the selective removal necessary for such intricate detail. For example, wet removal using hydrogen-fluoride solutions can be used for a selective removal. However, such a wet removal cannot be used for STI recessing because the process chemistry and bath life often cannot be sufficiently controlled for such detailed etching.


Dry etching techniques are available and have been shown to provide selective removal. For example, Siconi™ processes that use a combination of dry etchant gases including ammonia and a fluorine-containing gas have been used for better control of the material removal during the removal. However, the dry etchant gas still selectivity etches oxides of different quality at different rates. Although this oxide selectivity is often acceptable during semiconductor processing, in STI recessing, the minute selectivity can cause concave profiles in the STI trenches where a liner oxide is present with a flowable oxide. This slight concavity, or meniscus, can potentially cause integration issues with integrated passive device scaling and control gate polysilicon fill between the trenches. Thus, there is a need for improved intrench profiles in STI recess production. These and other needs are addressed by the present invention.


BRIEF SUMMARY

The present technology provides methods of removing dielectric materials of different qualities from within a trench that has been etched on a semiconductor substrate. The removal may be performed with dry etchant gases that are insensitive to the quality of a deposited oxide. By being insensitive, the dry etchant gases may remove different oxides at substantially similar rates. In this way, trenches that include multiple oxides of different qualities may be etched so that the profile within the trench is uniform across the different oxides.


Methods of etching recesses in semiconductor substrates are described. The methods may include forming a dielectric liner layer in a trench of the substrate where the liner layer has a first density. The method may also include depositing a second dielectric layer at least partially in the trench on the liner layer. The second dielectric layer may initially be flowable following the deposition, and the second dielectric layer may have a second density that is less than the first density of the liner layer. The method may further include exposing the substrate to a dry etchant, where the etchant removes a portion of the first liner layer and the second dielectric layer to form a recess, where the dry etchant includes a fluorine-containing compound and molecular hydrogen. The etch rate ratio for removing the first dielectric liner layer to removing the second dielectric layer is about 1:1.2 to about 1:1.


Embodiments of the invention also include methods of etching a dielectric material located between sections of a selective material over a semiconductor substrate. Selective materials may include materials such as polysilicon or other materials used to form structures such as floating gates. Selective materials such as polysilicon may require removal techniques that can maintain as much of the selective material as possible while removing other materials. In another sense, selective materials may be preferentially removed during certain types of wet or corrosive etching as opposed to sacrificial materials, and thus removal techniques that maintain the selective materials may be used. The methods include depositing a selective material over a semiconductor substrate. The methods may also include etching at least one trench in the selective material and semiconductor substrate that creates at least two sections of the selective material that are isolated from one another on the semiconductor substrate. The dielectric material may be deposited to at least partially fill the trench between the isolated sections of the selective material. The substrate may then be exposed to a dry etchant gas that removes a portion of the dielectric layer between the isolated sections of the selective material to form a recess. The dry etchant gas may include a fluorine-containing compound and molecular hydrogen.


Additional embodiments and features are set forth in part in the description that follows, and will become apparent to those skilled in the art upon examination of the specification and/or may be learned by the practice of the disclosed embodiments. The features and advantages of the disclosed embodiments may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.





BRIEF DESCRIPTION OF THE DRAWINGS

A further understanding of the nature and advantages of the disclosed embodiments may be realized by reference to the remaining portions of the specification and the drawings.



FIG. 1 shows a flowchart of an etch process according to disclosed embodiments.



FIG. 2 shows a flowchart of an etch process according to disclosed embodiments.



FIG. 3A shows a cross-sectional view of a substrate on which an etch process according to the present methods has been performed.



FIG. 3B shows a cross-sectional view of a substrate on which an etch process according to the present methods has been performed.



FIG. 4A shows a TEM image of a substrate on which an etch utilizing an ammonia has been performed.



FIG. 4B shows a TEM image of a substrate on which an etch process according to the present methods has been performed.





In the appended figures, similar components and/or features may have the same numerical reference label. Further, various components of the same type may be distinguished by following the reference label by a letter that distinguishes among the similar components and/or features. If only the first numerical reference label is used in the specification, the description is applicable to any one of the similar components and/or features having the same first numerical reference label irrespective of the letter suffix.


DETAILED DESCRIPTION

In the following description, for the purposes of explanation, numerous details are set forth in order to provide an understanding of various embodiments of the present invention. It will be apparent to one skilled in the art, however, that certain embodiments can be practiced without some of these details, or with additional details.


The present technology provides methods of etching recesses in semiconductor substrates in which a dry etchant that is substantially free of ammonia is used. By including a minimal concentration of ammonia, the amount of fluorine radicals within the etchant gas may be enhanced, which may allow for removal that is less sensitive to oxide quality. The dry etchant may include a fluorine-containing gas and molecular hydrogen.


Methods of etching a recess in a semiconductor substrate are described. The methods may include forming a dielectric liner layer in a trench of the substrate where the liner layer has a first density. The methods may also include depositing a second dielectric layer at least partially in the trench on the liner layer. The second dielectric layer may be initially flowable following the deposition, and the second dielectric layer may have a second density that is less than the first density of the liner layer. The methods may further include exposing the substrate to a dry etchant, where the etchant removes a portion of the first liner layer and the second dielectric layer to form a recess, where the dry etchant includes a fluorine-containing compound and molecular hydrogen, and where the etch rate ratio for removing the first dielectric liner layer to removing the second dielectric layer is about 1:1.2 to about 1:1.


Referring to FIG. 1, which shows an etch process 100 according to disclosed embodiments, a dielectric liner layer 110 may be formed on a semiconductor substrate. The dielectric liner layer initially deposited in the trench may be deposited so as to produce a substantially conformal liner. Conformality refers to a deposited film layer having a uniform thickness on both horizontal and vertical surfaces, or a step coverage equal to about one. The liner may also be formed over other layers of the substrate including pad oxides and floating gates. This liner helps to avoid shorting in the silicon through the lower quality flowable dielectrics that may be used for filling the gap due to their better gap-filling qualities such as flowability. In some embodiments, the dielectric liner layer is deposited by a less-flowable or non-flowable deposition technique, which may be HDP-CVD, or in other embodiments may be SACVD such as HARP, or PECVD such as plasma-enhanced TEOS and oxygen or TEOS and ozone. The deposited dielectric may include a silicon oxide such as an undoped silica glass or a doped silica such as phosphorous silicate glass, borosilicate glass, or borophosphosilicate glass. Still other dielectrics may include silicon nitride and silicon oxy-nitride.


An HDP deposition produces a liner layer with an HDP quality oxide, such as silicon oxide, which as the first dielectric layer has a first density as well as an overall quality that is higher than an oxide that is deposited by a flowable process. The HDP film is produced by exciting the reactant gases at low pressure or even vacuum, often with radio frequency energy, which creates a plasma near the substrate surface. The plasma energy causes the elements to be highly reactive and produces high density and high quality films. In other embodiments a thermal process may be performed on the substrate to produce the liner oxide layer in which chemical reactions of the reactant gases are caused by heating the substrate up to a high temperature to induce the reaction and formation of the film.


A second dielectric layer may be deposited 115 that is produced by a flowable deposition method, which can include spin-on-glass or flowable CVD for example. In some embodiments, flowable CVD is used to cover the dielectric liner layer and fill the trench in the substrate. The flowable oxide may be formed by exciting precursor gases separately, and then allowing them to combine in a region of the process chamber directly over the substrate to produce the flowable oxide that starts at the top of the trench, and then flows down to fill it in without creating voids or seams. The second dielectric layer has a second density that is less than the first density of the liner layer. In addition to filling the trench, in some embodiments the flowable oxide can additionally fill between pad oxide layers such as silicon nitride, or additionally can fill between polysilicon floating gates for situations including producing Nand flash, for example.


A variety of methods can be used to deposit dielectric layers that are initially flowable after deposition. For example, a flowable CVD process may be used in which a silicon precursor is introduced to the substrate processing region housing the substrate. Another precursor is introduced only after passing through a remote plasma region to create a radical precursor, such as a nitrogen precursor, which is then flowed into the substrate processing region and combined with the silicon precursor. In this technique, the silicon-containing precursor is not directly excited by an application of plasma power in the substrate processing region. Instead, plasma power just excites the precursor outside the substrate processing region. This arrangement results in the flowable deposition of a silicon-and-nitrogen-containing layer into the lined trench. The flowability of the film attenuates as the deposition proceeds and the flowability is essentially removed during a curing operation described below.


The silicon-containing precursor may contain carbon and/or nitrogen in order to ensure flowability during gapfill dielectric layer formation. In some embodiments, the silicon-containing precursor may be a carbon-free silicon-containing precursor which enables the gapfill layer to undergo less shrinkage during the curing process. The carbon-free silicon precursor may be, for example, a silicon-and-nitrogen precursor, a silicon-and-hydrogen precursor, or a silicon-nitrogen-and-hydrogen containing precursor, among other classes of silicon precursors. Specific examples of these precursors may include silyl-amines such as H2N(SiH3), HN(SiH3)2, and N(SiH3)3, among other silyl-amines. These silyl-amines may be mixed with additional gases that may act as carrier gases, reactive gases, or both. Examples of the these additional gases may include H2, N2, NH3, He, and Ar, among other gases. Examples of carbon-free silicon precursors may also include silane (SiH4) either alone or mixed with other silicon (e.g., N(SiH3)3), hydrogen (e.g., H2), and/or nitrogen (e.g., N2, NH3) containing gases. The silicon-containing precursors may also include silicon compounds that have no carbon or nitrogen, such as silane, disilane, etc. If the deposited oxide film is a doped oxide film, dopant precursors may also be used such as TEB, TMB, B2H6, TEPO, PH3, P2H6, and TMP, among other boron and phosphorous dopants.


Nitrogen may be included in either or both of the radical precursor and the silicon-containing precursor. When nitrogen is present in the radical precursor, it may be referred to as a radical-nitrogen precursor. The radical-nitrogen precursor includes plasma effluents created by exciting a more stable nitrogen-containing precursor in a plasma. For example, a relatively stable nitrogen-containing precursor containing NH3 and/or hydrazine (N2H4) may be activated in a chamber plasma region or a remote plasma system (RPS) outside the processing chamber to form the radical-nitrogen precursor, which is then transported into a plasma-free substrate processing region. The stable nitrogen precursor may also be a mixture comprising NH3 & N2, NH3 & H2, NH3 & N2 & H2 and N2 & H2, in different embodiments. Hydrazine may also be used in place of or in combination with NH3 in the mixtures with N2 and H2. The flow rate of the stable nitrogen precursor may be greater than or about 200 sccm, greater than or about 300 sccm, greater than or about 500 sccm or greater than or about 700 sccm in different embodiments. Nitrogen-containing precursors may also include N2O, NO, NO2 and NH4OH.


The radical-nitrogen precursor produced may include one or more of .N, .NH, .NH2, etc., and may also be accompanied by ionized species formed in the plasma. In other embodiments, the radical-nitrogen precursor is generated in a section of the processing chamber partitioned from the substrate processing region where the precursors mix and react to deposit the silicon-and-nitrogen layer on a deposition substrate (e.g., a semiconductor wafer). The partition may be incorporated into a showerhead that supplies the reactants to the substrate processing region. The radical-nitrogen precursor may also be accompanied by a carrier gas such as argon, helium, etc. Oxygen may be simultaneously delivered into the remote plasma region (in the form of O2 and/or O3) to adjust the amount of oxygen content in the radical-nitrogen precursor and liner or gapfill layer deposited with this technique.


The flowability may be due, at least in part, to a significant hydrogen component in the deposited film. For example the deposited film may have a silazane-type, Si—NH—Si backbone (i.e., a Si—N—H film). Flowability may also result from short chained polymers of the silazane type. The nitrogen which allows the formation of short chained polymers and flowability may originate from either the radical precursor or the silicon-containing precursor. When both the silicon precursor and the radical-nitrogen precursor are carbon-free, the deposited silicon-and-nitrogen-containing film is also substantially carbon-free. Of course, “carbon-free” does not necessarily mean the film lacks even trace amounts of carbon. Carbon contaminants may be present in the precursor materials that find their way into the deposited silicon-and-nitrogen-containing film. The amount of these carbon impurities however are much less than would be found in a silicon precursor having a carbon moiety (e.g., TEOS, TMDSO, etc.).


In other embodiments, the first and second dielectric layers are both flowable or may both not be flowable. In some embodiments the dielectrics are deposited by different mechanisms (e.g., the first is not flowable, and the second is flowable), but have similar dielectric qualities depending on the reactants used. In still other embodiments, the first and second dielectrics are deposited by the same mechanism, but have different qualities due to the use of different reactant species for the two dielectrics.


Flowable film growth may proceed while the substrate temperature is maintained at a relatively low temperature during deposition of the silicon-containing films. The flowable oxide film may be deposited on the substrate surface at a low temperature that is maintained by cooling the substrate during the deposition. The pedestal may include heating and/or cooling conduits that set the temperature of the pedestal and substrate between about −40° C. and about 1000° C., between about 100° C. and about 600° C., less than about 500° C. or at about 400° C. or less in different embodiments.


After the flowable dielectric has been deposited on the substrate, an etching process can be performed in order to remove excess dielectric in preparation for subsequent integrated passive device manufacturing steps. In some embodiments, a dry etchant gas is used to etch 120 the dielectric layers. The etchant removes a portion of both the first liner layer and the second dielectric layer. The gases included in the etchant may include gases that pass through a remote plasma region to be excited prior to entering the semiconductor processing region. The etchant may include a fluorine-containing compound and molecular hydrogen, and reacts with the dielectric layers to produce solid byproducts that sublimate when the temperature of the substrate is raised above the sublimation temperature, thereby removing the excess dielectric. The etch rate ratio for removing the first dielectric liner layer to removing the second dielectric layer may be about 1:2, or in other embodiments may be about 1:1.5, 1:1.3, 1:1.2, 1:1.1, or about 1:1. When the etch rate ratio is equal to 1:1 the separate dielectrics are removed at the same rate.


In some embodiments the dry etchant gas contains nitrogen trifluoride along with molecular hydrogen. In other embodiments the dry etchant gas is substantially free of ammonia. The dry etchant gas combination of nitrogen trifluoride and hydrogen may produce a slower reaction that is less selective of oxide quality than a dry etchant gas that includes ammonia. The addition of ammonia may reduce the concentration of fluorine in the reactive species producing ammonium fluoride and ammonium hydrogen fluoride. These products may remove a lower density and lower quality flowable dielectric at a faster rate than the higher density, higher quality liner oxide layer deposited by, for example, HDP. By having a selectivity with respect to HDP oxide that is closer to 1:1, the dry etchant gas that is substantially free of ammonia is able to produce recesses that have a less concave corner profile than a dry etchant gas that includes ammonia. In some embodiments, the dry etchant gas that is substantially free of ammonia produces a corner profile that is substantially flat against the sidewall of the recess.


The flowable dielectric may be cured following deposition in order to improve the dielectric film quality. Curing may be carried out in oxidative environments like steam, inert environments such as nitrogen, or other environments in various embodiments. The flowability of the film attenuates as the deposition proceeds and the flowability is essentially removed during a curing operation. The curing operation may involve converting the silicon-and-nitrogen-containing layer to silicon oxide. Curing involves raising the patterned substrate temperature and exposing the gapfill dielectric layer to an oxygen-containing environment. In some embodiments, the elevated temperature induces the oxide to diffuse from the liner layer into the gapfill layer which provides an additional source of oxygen from underneath the gapfill dielectric layer. The curing may be an anneal, and may be performed at temperatures below about 1000° C. In other embodiments, the curing may occur below about 800° C., 600° C., 500° C., 400° C., 300° C., or below about 200° C. Utilizing a flowable dielectric may reduce the thermal budget of the manufacturing processes, and in some cases the processes may be performed below about 600° C., 500° C., 400° C., 300° C., 200° C., or below about 100° C. in order to maintain the flowable dielectric.


Referring now to FIG. 2, a method 200 of etching a dielectric material located between sections of a selective material over a semiconductor substrate is described. The method includes depositing 210 a selective material over a semiconductor substrate. The selective material may be any material desired to be maintained while a separate material is removed. For example, and without intending to limit the invention, the selective material may be a polysilicon used as a floating gate in a flash memory cell. Another material, such as a dielectric, may be co-located on a substrate along with the selective polysilicon. The intention in some embodiments may be to remove the dielectric material while maintaining the selective polysilicon. In such a case, the dielectric may be removed in a way that limits the removal or does not remove the polysilicon. This may be performed with particular etching techniques that preferentially remove the dielectric. For example, utilizing dry etchant gases that react with oxides or nitrides but not with the polysilicon provides a way of removing the dielectic while maintaining the selective material. In other embodiments, the selective material is silicon, a deposited metal, a dielectric, or any other material that my be deposited on a substrate in which the intention is to remove significantly less of the selective material during the removal of a separate material.


After the selective material has been deposited, trenches may be etched 215 through the selective material and in some instances the semiconductor substrate. The etching creates isolated sections of the selective material located over the semiconductor substrate that are separated by the etched trenches. The trenches may display high aspect ratios in which the depth of the trench may be significantly greater than its width. Exemplary trenches may have an aspect ratio of about 2:1 or more, about 3:1 or more, about 5:1, about 7:1 or about 10:1 or more, etc.


The methods may further include depositing 220 a dielectric material within the trench. The deposition may include filling the trench completely and depositing sufficient dielectric to cover the selective material, or in other embodiments the deposition may fill the trench partially. The dielectric may be deposited past the level of the substrate so that it at least partially fills between the isolated sections of the selective material. Depending on the characteristics of the trenches, the dielectric material may be deposited by a flowable, or non-flowable method. In some embodiments with narrow and deep trenches, the dielectric may be deposited in a flowable manner in order to limit the likelihood of developing voids. In other embodiments, a higher quality dielectric may be used for improved insulation between the field components. In some embodiments spin-on-glass is used to deposit the dielectric material. In alternative embodiments the dielectric is deposited by a flowable CVD.


In some embodiments multiple dielectric depositions may be performed in order to fill the trench. For example, a liner layer may be deposited within the trenches prior to the trenches being filled with a flowable dielectric. Such a combination may provide the benefits of improved insulation from the liner layer, as well as the improved fill characteristics of a flowable dielectric. Additional examples include depositing the dielectric in a series of steps that include both deposition and etch-back of the dielectric in order to minimize bread-loafing and void formation. An initial layer of dielectric may be deposited in the trench followed by an intermediate etch process to remove dielectric buildup along the top of the trench. After the etching, the remainder of the trench may be filled with a subsequent deposition of dielectric material.


An etching process 225 may be performed after the deposition of the dielectric layer. The etching may include exposing the substrate to a dry etchant gas that removes a portion of the dielectric layer between the isolated sections of the selective material to form a recess. The dry etchant gas may be a mixture of gases that includes a fluorine-containing compound as well as molecular hydrogen. The gases may be flowed separately into the processing chamber in which the substrate resides, and in some embodiments the dry etchant gas is excited by a remote plasma source prior to its being flowed into the process chamber. In some embodiments the dry etchant gas is substantially free of ammonia, which may provide a slower reaction with a higher quantity of fluorine radicals available for reaction. The use of a dry etchant gas that is substantially free of ammonia may produce a recess with a substantially flat corner profile due to the prevented reduction of fluorine radicals into products including ammonium fluoride and ammonium hydrogen fluoride. The dry etchant gas may be completely free of ammonia in order to further prevent the removal of fluorine radicals by the formation of intermediate chemicals including ammonium fluoride and ammonium hydrogen fluoride.


In some depositions the dielectric layers are deposited well above the level of the selective material and an intermediate dielectric removal can be performed. Processes such as chemical mechanical polishing may be utilized to remove excess dielectric. The selective material may be used as the etch stop layer, which may be, for example, a field gate polysilicon or silicon nitride. Once the dielectric has been removed down to the layer of the selective material, the dry etchant may be used to remove the dielectric located between the sections of selective material.


The dielectric located between the sections of selective material may be removed based on the effective field height of the selective material. For example, the dielectric may be etched between about 200 and about 1200 angstrom. Additional examples may have the dielectric etched between about 400 and about 1000 angstrom, between about 600 and about 800 angstrom, etc. Where there are multiple sections of selective material, and multiple regions in between these sections in which dielectric must be removed, the dry etchant gas may provide recesses with cell to cell variation of less than about 10 nm. Exemplary effective field height variation between recess depths intercell is less than about 8 nm, or less than about 6 nm. The dry etchant gas may provide an etch uniformity between cells where deviations between cell recess depth and shape are less than 5%. Differences between cell depth and shape may be less than about 3%, about 2%, about 1.5%, about 1%, about 0.5%, about 0.1%, etc.


The resulting profile of the recess after the dielectric has been removed from between the sections of selective material may have a floor that is defined by the remaining dielectric material in the shallow trench isolation of the substrate. The floor profile may be substantially flat across the dielectric up to the location of where the dielectric material intersects the selective material. This point of intersection may define a corner of the recess, and the corner profile of the dielectric material may be at about a right angle with the selective material. When a right angle is formed between the dielectric material floor and the selective material wall defining the recess, a flat corner profile has been formed. An angle greater than or less than 90° may be formed, in which case the corner profile may be substantially flat. The dielectric may not be completely removed in the corners producing a slight concavity of the dielectric at the recess corner. Although the concavity may not define a perfectly circular cross section, the radius of curvature with the recess sides and floor may be less than about 5 nm. The radius of curvature may be less than about 3 nm, 2 nm, 1 nm, 5 angstrom, 3 angstrom, 2 angstrom, or about 1 angstrom in some embodiments providing a substantially flat corner profile.


The dielectric may be cured following deposition and prior to etching in order to improve the dielectric film quality. Curing may be carried out by any of the previously discussed methods. The curing may be an anneal, and may be performed at temperatures below about 1000° C. For example, the curing may occur below about 800° C., 600° C., 500° C., 400° C., 300° C., or below about 200° C. Utilizing a flowable dielectric may reduce the thermal budget of the manufacturing processes, and in some cases the processes may be performed below about 600° C., 500° C., 400° C., 300° C., 200° C., or below about 100° C. in order to maintain the flowable dielectric.


In some embodiments an oxide layer known as a tunnel oxide is deposited between the semiconductor substrate and the selective material to ensure isolation of floating gates. The tunnel oxide is deposited prior to the initial deposition of the selective material and trench formation. The dielectric deposited in the trenches and between the sections of the selective material may be etched down to the level of the tunnel oxide. Alternatively, the dielectric material may be etched between the sections of selective material, but is not etched down to the level of the tunnel oxide.


After the dielectric material has been etched from between the sections of the selective material, subsequent manufacturing may occur. An isolation layer may be deposited over the selective layer and in the etched recesses. This isolation layer may provide a liner between, for example, the floating gates and the control gate that can be subsequently deposited. A deposit of another material, such as a metal, dielectric, or some other material may be deposited after the isolation layer has been laid down. The subsequent material may be polysilicon that acts as a control gate in a flash memory cell such as a Nand flash device. A substantially flat corner profile of the etched dielectric recess may enable subsequent integrated passive device layers to be filled inside the trenches that can be a few nanometers in width, for example. When an isolation layer and a subsequent control gate layer are deposited within a recess that has a substantially flat corner profile and/or better cell uniformity, further integration issues may be prevented by providing improved interfaces for IPD scaling.


Turning now to FIG. 3A, a cross-sectional view is shown of a substrate 310 on which an etch process according to the present methods has been performed. Tunnel oxide 320 is deposited between substrate 310 and selective material 325. The selective material 325 may be a metal, a dielectric or oxide, or some other material. Selective material 325 may be polysilicon that is doped or undoped in some embodiments. Trenches 315 are created in the layers and filled with a dielectric material 319. The dielectric material may be flowable initially after deposition, and may be cured subsequent to deposition. The dielectric may then be etched back forming recess cells 330 with corners 335. The etching process may use a dry etchant gas mixture of a fluorine-containing gas and molecular hydrogen, and the dry etchant gas may be substantially free of ammonia. While corners 335 show a slight concavity, other embodiments may have them substantially flat or flat at the interface between the dielectric floor and selective material walls defining the recess cells 330 creating a right angle intersection. The cross-sectional view of FIG. 3A may be an intermediate step in processing a semiconductor device that will include deposition of a subsequent layer of material, such as polysilicon, within the recess cells formed. This subsequent material may be deposited after forming an isolation or liner layer over the selective material and within the recesses. The dielectric layer 319 may include both a liner layer as well as an additional layer of gapfill dielectric.


In FIG. 3B, a cross-sectional view is shown of a substrate 310 on which an etch process according to the present methods has been performed. The substrate 310 has a pad layer 340 deposited prior to forming the trenches 315. After trench formation, a dielectric liner material 317 may be deposited. The liner 317 may be deposited by, for example, an HDP deposition. Subsequently, a dielectric material 319 is deposited over the liner layer 317 within the trench 315. The dielectric material 319 may be initially flowable after deposition, and may be cured subsequent to deposition. The dielectric material 319 may be the same or a different quality and/or density of the dielectric liner layer 317. For example, the liner layer 317 may be of a higher quality than the dielectric material 319.


The dielectric 319 may extend above the pad oxide 340 and may be initially removed down to the layer of the pad oxide with a process such as chemical mechanical polishing. An etching process can be performed in which a dry etchant gas mixture is used to remove the dielectric material 319 and dielectric liner layer 317. The dry etchant gas mixture may include a fluorine-containing gas and molecular hydrogen, and may be substantially free of ammonia, or completely free of ammonia. The dry etchant gas removes the dielectric material 319 and liner layer 317 to produce a recess 330 that includes a corner 335. The corner 335 profile may be substantially flat indicating that the dielectric material 319 and dielectric liner 317 are removed to substantially the same depth. Removal to equivalent depth indicates that the dry etchant gas are substantially insensitive to oxide quality.


EXAMPLES

Comparative examples were made between etch selectivity using an etchant gas mixture with and without ammonia. The etches were conducted on a trench that was first lined with an HDP liner layer and then filled with a flowable oxide. The dielectrics were exposed to dry etchant gas mixtures containing nitrogen trifluoride and molecular hydrogen. In one example, the dry etchant gas also contained ammonia, while in a comparative example the dry etchant gas was substantially free of ammonia. As can be seen in Table I below, the dry etchant gas containing ammonia removes more of the flowable oxide in comparison to an HDP oxide than does the dry etchant gas that is substantially free of ammonia:









TABLE I







ETCH DEPTH OF DRY ETCHANT GAS













Selectivity of



HDP Oxide
Flowable Oxide
Etching of Flowable



Etch Depth
Etch Depth
Oxide with respect



(angstrom)
(angstrom)
to HDP oxide














Dry Etchant Gas
114
134
1.19


Containing


Ammonia


Dry Etchant Gas
114
123
1.09


Substantially


Free of Ammonia










FIGS. 4A and 4B show comparative TEM images of substrates after an etching has been performed. FIG. 4A shows a substrate on which an etch utilizing an ammonia has been performed. The corner profile as seen in the image shows a concavity indicating that the dielectric was not uniformly removed within the trench. FIG. 4B, however, shows a substrate on which an etch was performed with dry etchant gases that were substantially free of ammonia. As can be seen in the image, the corner profile is substantially flat where the dielectric layer intersects the nitride pad, creating an almost right angle corner profile. The flat corner profile indicates that the dielectric was uniformly removed within the trench.


Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the disclosed embodiments. Additionally, a number of well known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.


It is noted that individual embodiments may be described as a process which is depicted as a flowchart, a flow diagram, or a block diagram. Although a flowchart may describe the method as a sequential process, many of the operations can be performed in parallel or concurrently. In addition, the order of the operations may be rearranged. A process may be terminated when its operations are completed, but could have additional steps not discussed or included in a figure. Furthermore, not all operations in any particularly described process may occur in all embodiments. A process may correspond to a method, a function, a procedure, a subroutine, a subprogram, etc. When a process corresponds to a function, its termination corresponds to a return of the function to the calling function or the main function.


Where a range of values is provided, it is understood that each intervening value, to the smallest fraction of the unit of the lower limit, unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of those smaller ranges may independently be included or excluded in the range, and each range where either, neither, or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.


As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural references unless the context clearly dictates otherwise. Thus, for example, reference to “a dielectric material” includes a plurality of such materials, and reference to “the application” includes reference to one or more applications and equivalents thereof known to those skilled in the art, and so forth.


Also, the words “comprise”, “comprising”, “include”, “including”, and “includes”, when used in this specification and in the following claims, are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims
  • 1. A method of etching a dielectric material located between sections of a selective material over a semiconductor substrate, the method comprising: depositing a selective material over a semiconductor substrate;etching at least one trench in the selective material and semiconductor substrate that creates at least two sections of the selective material that are isolated from one another over the semiconductor substrate;forming a first dielectric layer as a liner layer within the trench;depositing a second dielectric material in the trench, wherein the dielectric material at least partially fills between the isolated sections of the selective material; andexposing the substrate to a dry etchant gas that removes a portion of the first dielectric layer and the second dielectric material between the isolated sections of the selective material to form a recess below a top height of the isolated sections of the selective material, wherein the dry etchant gas comprises a fluorine-containing compound and molecular hydrogen, and wherein the first dielectric layer and second dielectric material are formed and etched at a temperature of about 400° C. or less.
  • 2. The method of claim 1, wherein the dry etchant gas is substantially free of ammonia.
  • 3. The method of claim 1, wherein the selective material is polycrystalline silicon.
  • 4. The method of claim 1, further comprising depositing a tunnel oxide on the semiconductor substrate prior to depositing the selective material, wherein the selective material is deposited on the tunnel oxide.
  • 5. The method of claim 1, wherein the second dielectric material is deposited by flowable CVD.
  • 6. The method of claim 1, wherein the recess has a substantially flat corner profile.
  • 7. The method of claim 1, further comprising curing the second dielectric material after it is deposited.
  • 8. The method of claim 1, wherein an etch rate ratio for removing the first dielectric liner layer to removing the second dielectric material is about 1:1.2 to about 1:1.
CROSS-REFERENCES TO RELATED APPLICATIONS

This application claims the benefit of U.S. Provisional Application No. 61/539,279, filed Sep. 26, 2011, entitled “Improved Intrench Profile.” The entire disclosure of which is incorporated herein.

US Referenced Citations (641)
Number Name Date Kind
2369620 Sullivan et al. Feb 1945 A
3451840 Hough Jun 1969 A
3937857 Brummett et al. Feb 1976 A
4006047 Brummett et al. Feb 1977 A
4209357 Gorin et al. Jun 1980 A
4214946 Forget et al. Jul 1980 A
4232060 Mallory et al. Nov 1980 A
4234628 DuRose Nov 1980 A
4265943 Goldstein et al. May 1981 A
4364803 Nidola et al. Dec 1982 A
4368223 Kobayashi et al. Jan 1983 A
4397812 Mallory, Jr. Aug 1983 A
4468413 Bachmann Aug 1984 A
4565601 Kakehi et al. Jan 1986 A
4571819 Rogers et al. Feb 1986 A
4579618 Celestino et al. Apr 1986 A
4585920 Hoog et al. Apr 1986 A
4625678 Shioya et al. Dec 1986 A
4632857 Mallory, Jr. Dec 1986 A
4656052 Satou et al. Apr 1987 A
4690746 McInerney et al. Sep 1987 A
4714520 Gwozdz Dec 1987 A
4749440 Blackwood et al. Jun 1988 A
4753898 Parrillo et al. Jun 1988 A
4793897 Dunfield et al. Dec 1988 A
4807016 Douglas Feb 1989 A
4810520 Wu Mar 1989 A
4816638 Ukai et al. Mar 1989 A
4851370 Doklan et al. Jul 1989 A
4865685 Palmour Sep 1989 A
4872947 Wang et al. Oct 1989 A
4878994 Jucha et al. Nov 1989 A
4886570 Davis et al. Dec 1989 A
4892753 Wang et al. Jan 1990 A
4894352 Lane et al. Jan 1990 A
4904341 Blaugher et al. Feb 1990 A
4951601 Maydan et al. Aug 1990 A
4960488 Law et al. Oct 1990 A
4980018 Mu et al. Dec 1990 A
4981551 Palmour Jan 1991 A
4985372 Narita et al. Jan 1991 A
4994404 Sheng et al. Feb 1991 A
5000113 Wang et al. Mar 1991 A
5013691 Lory et al. May 1991 A
5030319 Nishino et al. Jul 1991 A
5061838 Lane et al. Oct 1991 A
5089441 Moslehi Feb 1992 A
5089442 Olmer Feb 1992 A
5147692 Bengston Sep 1992 A
5156881 Okano et al. Oct 1992 A
5186718 Tepman et al. Feb 1993 A
5198034 deBoer et al. Mar 1993 A
5203911 Sricharoenchaikit et al. Apr 1993 A
5215787 Homma Jun 1993 A
5228501 Tepman et al. Jul 1993 A
5231690 Soma et al. Jul 1993 A
5235139 Bengston et al. Aug 1993 A
5238499 van de Ven et al. Aug 1993 A
5240497 Shacham et al. Aug 1993 A
5248527 Uchida et al. Sep 1993 A
5252178 Moslehi Oct 1993 A
5270125 America et al. Dec 1993 A
5271972 Kwok et al. Dec 1993 A
5275977 Otsubo et al. Jan 1994 A
5279865 Chebi et al. Jan 1994 A
5288518 Homma Feb 1994 A
5290382 Zarowin et al. Mar 1994 A
5300463 Cathey et al. Apr 1994 A
5302233 Kim et al. Apr 1994 A
5306530 Strongin et al. Apr 1994 A
5314724 Tsukune et al. May 1994 A
5316804 Tomikawa et al. May 1994 A
5319247 Matsuura Jun 1994 A
5326427 Jerbic Jul 1994 A
5328218 Brusasco et al. Jul 1994 A
5328558 Kawamura et al. Jul 1994 A
5334552 Homma Aug 1994 A
5345999 Hosokawa Sep 1994 A
5352636 Beinglass Oct 1994 A
5362526 Wang et al. Nov 1994 A
5368897 Kurihara et al. Nov 1994 A
5380560 Kaja et al. Jan 1995 A
5382311 Ishikawa et al. Jan 1995 A
5384284 Doan et al. Jan 1995 A
5385763 Okano et al. Jan 1995 A
5399529 Homma Mar 1995 A
5403434 Moslehi Apr 1995 A
5413967 Matsuda et al. May 1995 A
5415890 Kloiber et al. May 1995 A
5416048 Blalock et al. May 1995 A
5420075 Homma et al. May 1995 A
5429995 Nishiyama et al. Jul 1995 A
5439553 Grant et al. Aug 1995 A
5451259 Krogh Sep 1995 A
5468342 Nulty et al. Nov 1995 A
5474589 Ohga et al. Dec 1995 A
5478403 Shinagawa et al. Dec 1995 A
5478462 Walsh Dec 1995 A
5483920 Pryor Jan 1996 A
5500249 Telford et al. Mar 1996 A
5505816 Barnes et al. Apr 1996 A
5510216 Calabrese et al. Apr 1996 A
5516367 Lei et al. May 1996 A
5531835 Fodor et al. Jul 1996 A
5534070 Okamura et al. Jul 1996 A
5536360 Nguyen et al. Jul 1996 A
5549780 Koinuma et al. Aug 1996 A
5558717 Zhao et al. Sep 1996 A
5560779 Knowles et al. Oct 1996 A
5563105 Dobuzinsky et al. Oct 1996 A
5571576 Qian et al. Nov 1996 A
5578130 Hayashi et al. Nov 1996 A
5591269 Arami et al. Jan 1997 A
5599740 Jang et al. Feb 1997 A
5624582 Cain Apr 1997 A
5626922 Miyanaga et al. May 1997 A
5635086 Warren, Jr. Jun 1997 A
5645645 Zhang et al. Jul 1997 A
5648125 Cane Jul 1997 A
5648175 Russell et al. Jul 1997 A
5656093 Burkhart et al. Aug 1997 A
5661093 Ravi et al. Aug 1997 A
5674787 Zhao et al. Oct 1997 A
5679606 Wang et al. Oct 1997 A
5688331 Aruga et al. Nov 1997 A
5695810 Dubin et al. Dec 1997 A
5712185 Tsai et al. Jan 1998 A
5716500 Bardos et al. Feb 1998 A
5716506 Maclay et al. Feb 1998 A
5719085 Moon et al. Feb 1998 A
5733816 Iyer et al. Mar 1998 A
5747373 Yu May 1998 A
5755859 Brusic et al. May 1998 A
5756402 Jimbo et al. May 1998 A
5781693 Ballance et al. Jul 1998 A
5786276 Brooks et al. Jul 1998 A
5789300 Fulford Aug 1998 A
5800686 Littau et al. Sep 1998 A
5804259 Robles Sep 1998 A
5812403 Fong et al. Sep 1998 A
5820723 Benjamin et al. Oct 1998 A
5824599 Schacham-Diamand et al. Oct 1998 A
5830805 Shacham-Diamand et al. Nov 1998 A
5838055 Kleinhenz et al. Nov 1998 A
5843538 Ehrsam et al. Dec 1998 A
5844195 Fairbairn et al. Dec 1998 A
5846332 Zhao et al. Dec 1998 A
5846375 Gilchrist et al. Dec 1998 A
5846598 Semkow et al. Dec 1998 A
5849639 Molloy et al. Dec 1998 A
5850105 Dawson et al. Dec 1998 A
5855681 Maydan et al. Jan 1999 A
5856240 Sinha et al. Jan 1999 A
5858876 Chew Jan 1999 A
5872052 Iyer Feb 1999 A
5872058 Van Cleemput et al. Feb 1999 A
5882786 Nassau et al. Mar 1999 A
5885404 Kim et al. Mar 1999 A
5885749 Huggins et al. Mar 1999 A
5888906 Sandhu et al. Mar 1999 A
5891349 Tobe et al. Apr 1999 A
5891513 Dubin et al. Apr 1999 A
5897751 Makowiecki Apr 1999 A
5899752 Hey et al. May 1999 A
5904827 Reynolds May 1999 A
5907790 Kellam May 1999 A
5910340 Uchida et al. Jun 1999 A
5913140 Roche et al. Jun 1999 A
5913147 Dubin et al. Jun 1999 A
5915190 Pirkle Jun 1999 A
5920792 Lin Jul 1999 A
5932077 Reynolds et al. Aug 1999 A
5933757 Yoshikawa et al. Aug 1999 A
5935334 Fong et al. Aug 1999 A
5937323 Orczyk et al. Aug 1999 A
5939831 Fong et al. Aug 1999 A
5942075 Nagahata et al. Aug 1999 A
5944902 Redeker et al. Aug 1999 A
5951601 Lesinski et al. Sep 1999 A
5951776 Selyutin et al. Sep 1999 A
5953635 Andideh Sep 1999 A
5968610 Liu et al. Oct 1999 A
5969422 Ting et al. Oct 1999 A
5976327 Tanaka Nov 1999 A
5990000 Hong et al. Nov 1999 A
5990013 Berenguer et al. Nov 1999 A
5993916 Zhao et al. Nov 1999 A
6004884 Abraham Dec 1999 A
6010962 Liu et al. Jan 2000 A
6013191 Nasser-Faili et al. Jan 2000 A
6013584 M'Saad Jan 2000 A
6015724 Yamazaki et al. Jan 2000 A
6015747 Lopatin et al. Jan 2000 A
6020271 Yanagida Feb 2000 A
6030666 Lam et al. Feb 2000 A
6030881 Papasouliotis et al. Feb 2000 A
6035101 Sajoto et al. Mar 2000 A
6037018 Jang et al. Mar 2000 A
6037266 Tao et al. Mar 2000 A
6039851 Iyer Mar 2000 A
6053982 Halpin et al. Apr 2000 A
6059643 Hu et al. May 2000 A
6063683 Wu et al. May 2000 A
6063712 Gilton et al. May 2000 A
6065424 Shacham-Diamand et al. May 2000 A
6072227 Yau et al. Jun 2000 A
6077780 Dubin Jun 2000 A
6080529 Ye et al. Jun 2000 A
6083344 Hanawa et al. Jul 2000 A
6086677 Umotoy et al. Jul 2000 A
6087278 Kim et al. Jul 2000 A
6093594 Yeap et al. Jul 2000 A
6099697 Hausmann Aug 2000 A
6107199 Allen et al. Aug 2000 A
6110530 Chen et al. Aug 2000 A
6110836 Cohen et al. Aug 2000 A
6110838 Loewenstein Aug 2000 A
6113771 Landau et al. Sep 2000 A
6117245 Mandrekar et al. Sep 2000 A
6136163 Cheung et al. Oct 2000 A
6136685 Narwankar et al. Oct 2000 A
6136693 Chan et al. Oct 2000 A
6140234 Uzoh et al. Oct 2000 A
6144099 Lopatin et al. Nov 2000 A
6147009 Grill et al. Nov 2000 A
6149828 Vaartstra Nov 2000 A
6150628 Smith et al. Nov 2000 A
6153935 Edelstein et al. Nov 2000 A
6165912 McConnell et al. Dec 2000 A
6167834 Wang et al. Jan 2001 B1
6169021 Akram et al. Jan 2001 B1
6170428 Redeker et al. Jan 2001 B1
6171661 Zheng et al. Jan 2001 B1
6174812 Hsiung et al. Jan 2001 B1
6176198 Kao et al. Jan 2001 B1
6177245 Ward et al. Jan 2001 B1
6179924 Zhao et al. Jan 2001 B1
6180523 Lee et al. Jan 2001 B1
6182602 Redeker et al. Feb 2001 B1
6189483 Ishikawa et al. Feb 2001 B1
6190233 Hong et al. Feb 2001 B1
6191026 Rana et al. Feb 2001 B1
6194038 Rossman Feb 2001 B1
6197181 Chen Mar 2001 B1
6197364 Paunovic et al. Mar 2001 B1
6197680 Lin et al. Mar 2001 B1
6197688 Simpson Mar 2001 B1
6197705 Vassiliev Mar 2001 B1
6203863 Liu et al. Mar 2001 B1
6204200 Shieh et al. Mar 2001 B1
6217658 Orczyk et al. Apr 2001 B1
6228233 Lakshmikanthan et al. May 2001 B1
6228751 Yamazaki et al. May 2001 B1
6228758 Pellerin et al. May 2001 B1
6235643 Mui et al. May 2001 B1
6238513 Arnold et al. May 2001 B1
6238582 Williams et al. May 2001 B1
6241845 Gadgil et al. Jun 2001 B1
6242349 Nogami et al. Jun 2001 B1
6245670 Cheung et al. Jun 2001 B1
6251236 Stevens Jun 2001 B1
6251802 Moore et al. Jun 2001 B1
6258220 Dordi et al. Jul 2001 B1
6258223 Cheung et al. Jul 2001 B1
6258270 Hilgendorff et al. Jul 2001 B1
6261637 Oberle Jul 2001 B1
6277752 Chen Aug 2001 B1
6277763 Kugimiya et al. Aug 2001 B1
6281135 Han et al. Aug 2001 B1
6291348 Lopatin et al. Sep 2001 B1
6303418 Cha et al. Oct 2001 B1
6312995 Yu Nov 2001 B1
6313035 Sandhu et al. Nov 2001 B1
6319387 Krishnamoorthy et al. Nov 2001 B1
6323128 Sambucetti et al. Nov 2001 B1
6335261 Natzle et al. Jan 2002 B1
6335288 Kwan et al. Jan 2002 B1
6340435 Bjorkman et al. Jan 2002 B1
6342733 Hu et al. Jan 2002 B1
6344410 Lopatin et al. Feb 2002 B1
6350320 Sherstinsky et al. Feb 2002 B1
6351013 Luning et al. Feb 2002 B1
6364949 Or et al. Apr 2002 B1
6364954 Umotoy et al. Apr 2002 B2
6364957 Schneider et al. Apr 2002 B1
6372657 Hineman et al. Apr 2002 B1
6375748 Yudovsky et al. Apr 2002 B1
6379575 Yin et al. Apr 2002 B1
6383951 Li May 2002 B1
6387207 Janakiraman et al. May 2002 B1
6395150 Van Cleemput et al. May 2002 B1
6403491 Liu et al. Jun 2002 B1
6416647 Dordi et al. Jul 2002 B1
6432819 Pavate et al. Aug 2002 B1
6436816 Lee et al. Aug 2002 B1
6440863 Tsai et al. Aug 2002 B1
6441492 Cunningham Aug 2002 B1
6446572 Brcka Sep 2002 B1
6448537 Nering Sep 2002 B1
6458718 Todd Oct 2002 B1
6462371 Weimer et al. Oct 2002 B1
6465366 Nemani et al. Oct 2002 B1
6477980 White et al. Nov 2002 B1
6479373 Dreybrodt et al. Nov 2002 B2
6488984 Wada et al. Dec 2002 B1
6494959 Samoilov et al. Dec 2002 B1
6500728 Wang Dec 2002 B1
6503843 Xia et al. Jan 2003 B1
6506291 Tsai et al. Jan 2003 B2
6516815 Stevens et al. Feb 2003 B1
6518548 Sugaya et al. Feb 2003 B2
6527968 Wang et al. Mar 2003 B1
6528409 Lopatin et al. Mar 2003 B1
6531377 Knorr et al. Mar 2003 B2
6537733 Campana et al. Mar 2003 B2
6541397 Bencher Apr 2003 B1
6541671 Martinez et al. Apr 2003 B1
6544340 Yudovsky Apr 2003 B2
6547977 Yan et al. Apr 2003 B1
6551924 Dalton et al. Apr 2003 B1
6565729 Chen et al. May 2003 B2
6569773 Gellrich et al. May 2003 B1
6573030 Fairbairn et al. Jun 2003 B1
6573606 Sambucetti et al. Jun 2003 B2
6596602 Iizuka et al. Jul 2003 B2
6596654 Bayman et al. Jul 2003 B1
6602434 Hung et al. Aug 2003 B1
6603269 Vo et al. Aug 2003 B1
6605874 Leu et al. Aug 2003 B2
6616967 Test Sep 2003 B1
6627532 Gaillard et al. Sep 2003 B1
6635578 Xu et al. Oct 2003 B1
6638810 Bakli et al. Oct 2003 B2
6645550 Cheung et al. Nov 2003 B1
6656831 Lee et al. Dec 2003 B1
6656837 Xu et al. Dec 2003 B2
6677242 Liu et al. Jan 2004 B1
6677247 Yuan et al. Jan 2004 B2
6679981 Pan et al. Jan 2004 B1
6717189 Inoue et al. Apr 2004 B2
6720213 Gambino et al. Apr 2004 B1
6740585 Yoon et al. May 2004 B2
6743473 Parkhe et al. Jun 2004 B1
6743732 Lin et al. Jun 2004 B1
6759261 Shimokohbe et al. Jul 2004 B2
6762127 Boiteux et al. Jul 2004 B2
6762435 Towle Jul 2004 B2
6764958 Nemani et al. Jul 2004 B1
6765273 Chau et al. Jul 2004 B1
6772827 Keller et al. Aug 2004 B2
6794290 Papasouliotis et al. Sep 2004 B1
6794311 Huang et al. Sep 2004 B2
6796314 Graff et al. Sep 2004 B1
6797189 Hung et al. Sep 2004 B2
6800830 Mahawili Oct 2004 B2
6802944 Ahmad et al. Oct 2004 B2
6808564 Dietze Oct 2004 B2
6808748 Kapoor et al. Oct 2004 B2
6821571 Huang Nov 2004 B2
6823589 White et al. Nov 2004 B2
6830624 Janakiraman et al. Dec 2004 B2
6835995 Li Dec 2004 B2
6846745 Papasouliotis et al. Jan 2005 B1
6858153 Bjorkman et al. Feb 2005 B2
6867141 Jung et al. Mar 2005 B2
6869880 Krishnaraj et al. Mar 2005 B2
6878206 Tzu et al. Apr 2005 B2
6879981 Rothschild et al. Apr 2005 B2
6893967 Wright et al. May 2005 B1
6903031 Karim et al. Jun 2005 B2
6903511 Chistyakov Jun 2005 B2
6908862 Li et al. Jun 2005 B2
6911112 An et al. Jun 2005 B2
6911401 Khandan et al. Jun 2005 B2
6921556 Shimizu et al. Jul 2005 B2
6924191 Liu et al. Aug 2005 B2
6942753 Choi et al. Sep 2005 B2
6951821 Hamelin et al. Oct 2005 B2
6958175 Sakamoto et al. Oct 2005 B2
6958286 Chen et al. Oct 2005 B2
6974780 Schuegraf Dec 2005 B2
7017269 White et al. Mar 2006 B2
7030034 Fucsko et al. Apr 2006 B2
7049200 Arghavani et al. May 2006 B2
7078312 Sutanto et al. Jul 2006 B1
7081414 Zhang et al. Jul 2006 B2
7084070 Lee et al. Aug 2006 B1
7115525 Abatchev et al. Oct 2006 B2
7122949 Strikovski Oct 2006 B2
7148155 Tarafdar et al. Dec 2006 B1
7166233 Johnson et al. Jan 2007 B2
7183214 Nam et al. Feb 2007 B2
7196342 Ershov et al. Mar 2007 B2
7205240 Karim et al. Apr 2007 B2
7223701 Min et al. May 2007 B2
7226805 Hallin et al. Jun 2007 B2
7253123 Arghavani et al. Aug 2007 B2
7256370 Guiver Aug 2007 B2
7288482 Panda et al. Oct 2007 B2
7341633 Lubomirsky et al. Mar 2008 B2
7390710 Derderian et al. Jun 2008 B2
7396480 Kao et al. Jul 2008 B2
7465358 Weidman Dec 2008 B2
7484473 Keller et al. Feb 2009 B2
7488688 Chung et al. Feb 2009 B2
7494545 Lam et al. Feb 2009 B2
7581511 Mardian et al. Sep 2009 B2
7628897 Mungekar et al. Dec 2009 B2
7709396 Bencher et al. May 2010 B2
7722925 White et al. May 2010 B2
7785672 Choi et al. Aug 2010 B2
7807578 Bencher et al. Oct 2010 B2
7871926 Xia et al. Jan 2011 B2
7910491 Soo Kwon et al. Mar 2011 B2
7915139 Lang et al. Mar 2011 B1
7939422 Ingle et al. May 2011 B2
7968441 Xu Jun 2011 B2
7981806 Jung Jul 2011 B2
8008166 Sanchez et al. Aug 2011 B2
8058179 Draeger et al. Nov 2011 B1
8071482 Kawada Dec 2011 B2
8074599 Choi et al. Dec 2011 B2
8083853 Choi et al. Dec 2011 B2
8187486 Liu et al. May 2012 B1
8211808 Sapre et al. Jul 2012 B2
8309440 Sanchez et al. Nov 2012 B2
8328939 Choi et al. Dec 2012 B2
8435902 Tang et al. May 2013 B2
8491805 Kushibiki et al. Jul 2013 B2
8642481 Wang et al. Feb 2014 B2
20010008803 Takamatsu et al. Jul 2001 A1
20010015261 Kobayashi et al. Aug 2001 A1
20010028922 Sandhu Oct 2001 A1
20010030366 Nakano et al. Oct 2001 A1
20010034121 Fu et al. Oct 2001 A1
20010041444 Shields et al. Nov 2001 A1
20010055842 Uh et al. Dec 2001 A1
20020011210 Satoh et al. Jan 2002 A1
20020016080 Khan et al. Feb 2002 A1
20020016085 Huang et al. Feb 2002 A1
20020028585 Chung et al. Mar 2002 A1
20020029747 Powell et al. Mar 2002 A1
20020033233 Savas Mar 2002 A1
20020036143 Segawa et al. Mar 2002 A1
20020045966 Lee et al. Apr 2002 A1
20020054962 Huang May 2002 A1
20020069820 Yudovsky Jun 2002 A1
20020098681 Hu et al. Jul 2002 A1
20020124867 Kim et al. Sep 2002 A1
20020177322 Li et al. Nov 2002 A1
20020187655 Tan et al. Dec 2002 A1
20020197823 Yoo et al. Dec 2002 A1
20030010645 Ting et al. Jan 2003 A1
20030019428 Ku et al. Jan 2003 A1
20030029566 Roth Feb 2003 A1
20030029715 Yu et al. Feb 2003 A1
20030032284 Enomoto et al. Feb 2003 A1
20030038127 Liu et al. Feb 2003 A1
20030038305 Wasshuber Feb 2003 A1
20030054608 Tseng et al. Mar 2003 A1
20030072639 White et al. Apr 2003 A1
20030075808 Inoue et al. Apr 2003 A1
20030077909 Jiwari Apr 2003 A1
20030079686 Chen et al. May 2003 A1
20030087531 Kang et al. May 2003 A1
20030091938 Fairbairn et al. May 2003 A1
20030098125 An May 2003 A1
20030109143 Hsieh et al. Jun 2003 A1
20030116087 Nguyen et al. Jun 2003 A1
20030116439 Seo et al. Jun 2003 A1
20030121608 Chen et al. Jul 2003 A1
20030124465 Lee et al. Jul 2003 A1
20030124842 Hytros et al. Jul 2003 A1
20030129106 Sorensen et al. Jul 2003 A1
20030129827 Lee et al. Jul 2003 A1
20030132319 Hytros et al. Jul 2003 A1
20030148035 Lingampalli Aug 2003 A1
20030173333 Wang et al. Sep 2003 A1
20030173347 Guiver Sep 2003 A1
20030181040 Ivanov et al. Sep 2003 A1
20030183244 Rossman Oct 2003 A1
20030190426 Padhi et al. Oct 2003 A1
20030199170 Li Oct 2003 A1
20030221780 Lei et al. Dec 2003 A1
20030224217 Byun et al. Dec 2003 A1
20030224617 Baek et al. Dec 2003 A1
20040005726 Huang Jan 2004 A1
20040033678 Arghavani et al. Feb 2004 A1
20040069225 Fairbairn et al. Apr 2004 A1
20040070346 Choi Apr 2004 A1
20040072446 Liu et al. Apr 2004 A1
20040101667 O'Loughlin et al. May 2004 A1
20040110354 Natzle et al. Jun 2004 A1
20040115876 Goundar et al. Jun 2004 A1
20040129224 Yamazaki Jul 2004 A1
20040137161 Segawa et al. Jul 2004 A1
20040154535 Chen et al. Aug 2004 A1
20040175929 Schmitt et al. Sep 2004 A1
20040182315 Laflamme et al. Sep 2004 A1
20040192032 Ohmori et al. Sep 2004 A1
20040194799 Kim et al. Oct 2004 A1
20040211357 Gadgil et al. Oct 2004 A1
20040219789 Wood et al. Nov 2004 A1
20040245091 Karim et al. Dec 2004 A1
20050001276 Gao et al. Jan 2005 A1
20050003676 Ho et al. Jan 2005 A1
20050009358 Choi et al. Jan 2005 A1
20050026430 Kim et al. Feb 2005 A1
20050026431 Kazumi et al. Feb 2005 A1
20050035455 Hu et al. Feb 2005 A1
20050048801 Karim et al. Mar 2005 A1
20050090120 Hasegawa et al. Apr 2005 A1
20050098111 Shimizu et al. May 2005 A1
20050112901 Ji et al. May 2005 A1
20050121750 Chan et al. Jun 2005 A1
20050181588 Kim Aug 2005 A1
20050199489 Stevens et al. Sep 2005 A1
20050205110 Kao et al. Sep 2005 A1
20050218507 Kao et al. Oct 2005 A1
20050221552 Kao et al. Oct 2005 A1
20050230350 Kao et al. Oct 2005 A1
20050236694 Wu et al. Oct 2005 A1
20050266622 Arghavani et al. Dec 2005 A1
20050266691 Gu et al. Dec 2005 A1
20050287771 Seamons et al. Dec 2005 A1
20060019456 Bu et al. Jan 2006 A1
20060019486 Yu et al. Jan 2006 A1
20060024954 Wu et al. Feb 2006 A1
20060024956 Zhijian et al. Feb 2006 A1
20060033678 Lubomirsky et al. Feb 2006 A1
20060046419 Sandhu et al. Mar 2006 A1
20060046484 Abatchev et al. Mar 2006 A1
20060051966 Or et al. Mar 2006 A1
20060051968 Joshi et al. Mar 2006 A1
20060093756 Rajagopalan et al. May 2006 A1
20060102076 Smith et al. May 2006 A1
20060130971 Chang et al. Jun 2006 A1
20060166107 Chen et al. Jul 2006 A1
20060166515 Karim et al. Jul 2006 A1
20060185592 Matsuura Aug 2006 A1
20060207504 Hasebe et al. Sep 2006 A1
20060211260 Tran et al. Sep 2006 A1
20060216923 Tran et al. Sep 2006 A1
20060226121 Aoi Oct 2006 A1
20060240661 Annapragada et al. Oct 2006 A1
20060246717 Wang Nov 2006 A1
20060251800 Weidman et al. Nov 2006 A1
20060251801 Weidman et al. Nov 2006 A1
20060252252 Zhu et al. Nov 2006 A1
20060261490 Su et al. Nov 2006 A1
20060264003 Eun Nov 2006 A1
20060264043 Stewart et al. Nov 2006 A1
20070071888 Shanmugasundram et al. Mar 2007 A1
20070072408 Enomoto et al. Mar 2007 A1
20070090325 Hwang et al. Apr 2007 A1
20070099428 Shamiryan et al. May 2007 A1
20070099431 Li May 2007 A1
20070099438 Ye et al. May 2007 A1
20070107750 Sawin et al. May 2007 A1
20070108404 Stewart et al. May 2007 A1
20070111519 Lubomirsky et al. May 2007 A1
20070117396 Wu et al. May 2007 A1
20070123051 Arghavani et al. May 2007 A1
20070181057 Lam et al. Aug 2007 A1
20070197028 Byun et al. Aug 2007 A1
20070232071 Balseanu et al. Oct 2007 A1
20070238321 Futase et al. Oct 2007 A1
20070269976 Futase et al. Nov 2007 A1
20070281106 Lubomirsky et al. Dec 2007 A1
20080044990 Lee Feb 2008 A1
20080081483 Wu Apr 2008 A1
20080085604 Hoshino et al. Apr 2008 A1
20080099431 Kumar et al. May 2008 A1
20080115726 Ingle et al. May 2008 A1
20080124919 Huang et al. May 2008 A1
20080124937 Xu et al. May 2008 A1
20080142483 Hua et al. Jun 2008 A1
20080142831 Su Jun 2008 A1
20080160210 Yang et al. Jul 2008 A1
20080162781 Haller et al. Jul 2008 A1
20080182381 Kiyotoshi Jul 2008 A1
20080182382 Ingle et al. Jul 2008 A1
20080230519 Takahashi Sep 2008 A1
20080233709 Conti et al. Sep 2008 A1
20080261404 Kozuka et al. Oct 2008 A1
20080268645 Kao et al. Oct 2008 A1
20080292798 Huh et al. Nov 2008 A1
20090017227 Fu et al. Jan 2009 A1
20090045167 Maruyama Feb 2009 A1
20090104738 Ring et al. Apr 2009 A1
20090104764 Xia et al. Apr 2009 A1
20090104782 Lu et al. Apr 2009 A1
20090189246 Wu et al. Jul 2009 A1
20090275205 Kiehlbauch et al. Nov 2009 A1
20090275206 Katz et al. Nov 2009 A1
20090280650 Lubomirsky et al. Nov 2009 A1
20100059889 Gosset et al. Mar 2010 A1
20100075503 Bencher et al. Mar 2010 A1
20100093151 Arghavani et al. Apr 2010 A1
20100098884 Balseanu et al. Apr 2010 A1
20100099236 Kwon et al. Apr 2010 A1
20100099263 Kao et al. Apr 2010 A1
20100105209 Winniczek et al. Apr 2010 A1
20100144140 Chandrashekar et al. Jun 2010 A1
20100173499 Tao et al. Jul 2010 A1
20100187534 Nishi et al. Jul 2010 A1
20100187588 Kim et al. Jul 2010 A1
20100330814 Yokota et al. Dec 2010 A1
20110008950 Xu Jan 2011 A1
20110034035 Liang et al. Feb 2011 A1
20110053380 Sapre et al. Mar 2011 A1
20110081782 Liang et al. Apr 2011 A1
20110143542 Feurprier et al. Jun 2011 A1
20110151674 Tang et al. Jun 2011 A1
20110151676 Ingle et al. Jun 2011 A1
20110151677 Wang et al. Jun 2011 A1
20110151678 Ashtiani et al. Jun 2011 A1
20110159690 Chandrashekar et al. Jun 2011 A1
20110165771 Ring et al. Jul 2011 A1
20110195575 Wang Aug 2011 A1
20110226734 Sumiya et al. Sep 2011 A1
20110230052 Tang et al. Sep 2011 A1
20110266252 Thadani et al. Nov 2011 A1
20110294300 Zhang et al. Dec 2011 A1
20120003782 Byun et al. Jan 2012 A1
20120009796 Cui et al. Jan 2012 A1
20120068242 Shin et al. Mar 2012 A1
20120135576 Lee et al. May 2012 A1
20120196447 Yang et al. Aug 2012 A1
20120211462 Zhang et al. Aug 2012 A1
20120238102 Zhang et al. Sep 2012 A1
20120238103 Zhang et al. Sep 2012 A1
20120285621 Tan Nov 2012 A1
20120309204 Kang et al. Dec 2012 A1
20130034968 Zhang et al. Feb 2013 A1
20130045605 Wang et al. Feb 2013 A1
20130052827 Wang et al. Feb 2013 A1
20130052833 Ranjan et al. Feb 2013 A1
20130059440 Wang et al. Mar 2013 A1
20130089988 Wang et al. Apr 2013 A1
20130260533 Sapre et al. Oct 2013 A1
Foreign Referenced Citations (89)
Number Date Country
1375575 Oct 2002 CN
1412861 Apr 2003 CN
101465386 Jun 2009 CN
0329406 Aug 1989 EP
2058836 Feb 1990 EP
0376252 Jul 1990 EP
0475567 Mar 1992 EP
0 496 543 Jul 1992 EP
0 658 928 Jun 1995 EP
0697467 Feb 1996 EP
0913498 May 1999 EP
1099776 May 2001 EP
1107288 Jun 2001 EP
1496542 Jan 2005 EP
1568797 Aug 2005 EP
2285174 Jun 1995 GB
61-276977 Dec 1986 JP
02-121330 May 1990 JP
02256235 Oct 1990 JP
4-239750 Jul 1992 JP
4-341568 Nov 1992 JP
07-130713 May 1995 JP
11124682 May 1995 JP
7-161703 Jun 1995 JP
7297543 Nov 1995 JP
08-306671 Nov 1996 JP
09-153481 Jun 1997 JP
09153481 Jun 1997 JP
09-205140 Aug 1997 JP
10-178004 Jun 1998 JP
2010-154699 Jun 1998 JP
H11-204442 Jul 1999 JP
2000-012514 Jan 2000 JP
2001-308023 Nov 2001 JP
2002-100578 Apr 2002 JP
2002-141349 May 2002 JP
2002-222861 Aug 2002 JP
2002-256235 Sep 2002 JP
2003-019433 Jan 2003 JP
2003-059914 Feb 2003 JP
2003-179038 Jun 2003 JP
2003-217898 Jul 2003 JP
2003-318158 Nov 2003 JP
2003-347278 Dec 2003 JP
2004-047956 Feb 2004 JP
2004-156143 Jun 2004 JP
04-239723 Aug 2004 JP
2005-033023 Feb 2005 JP
2007-173383 Jul 2007 JP
08-148470 Jun 2008 JP
10-0155601 Dec 1998 KR
10-0236219 Dec 1999 KR
1020000008278 Feb 2000 KR
2000-0044928 Jul 2000 KR
2001-0014064 Feb 2001 KR
10-2001-0049274 Jun 2001 KR
10-2001-0058774 Jul 2001 KR
10-2001-0082109 Aug 2001 KR
10-2004-0049739 Jun 2004 KR
10-2004-0096365 Nov 2004 KR
1020050042701 May 2005 KR
10-0681390 Sep 2006 KR
1020080063988 Jul 2008 KR
10-2010-0013980 Feb 2010 KR
10-2010-0074508 Jul 2010 KR
10-1050454 Jul 2011 KR
1020110126675 Nov 2011 KR
1020120082640 Jul 2012 KR
9220833 Nov 1992 WO
9926277 May 1999 WO
9954920 Oct 1999 WO
9962108 Dec 1999 WO
0013225 Mar 2000 WO
0022671 Apr 2000 WO
0194719 Dec 2001 WO
02083981 Oct 2002 WO
03014416 Feb 2003 WO
2004006303 Jan 2004 WO
2004074932 Sep 2004 WO
2004114366 Dec 2004 WO
2005036615 Apr 2005 WO
20060609085 Jun 2006 WO
2009071627 Jun 2009 WO
2011087580 Jul 2011 WO
2011115761 Sep 2011 WO
2011139435 Nov 2011 WO
2012018449 Feb 2012 WO
1020030081177 Feb 2012 WO
2012125654 Sep 2012 WO
Non-Patent Literature Citations (64)
Entry
Abraham, “Reactive Facet Tapering of Plasma Oxide For Multilevel Interconnect Applications”, IEEE, V-MIC Conference, Jun. 15-16, 1987, pp. 115-121.
Applied Materials, Inc., “Applied Siconi™ Preclean,” printed on Aug. 7, 2009, 8 pages.
Carlson, et al., “A Negative Spacer Lithography Process for Sub-100nm Contact Holes and Vias”, University of California at Berkeley, Jun. 19, 2007, 4 pp.
Chang, et al. “Frequency Effects and Properties of Plasma Deposited Fluorinated Silicon Nitride”, J. Vac Sci Technol B 6(2), Mar./Apr. 1988, pp. 524-532.
Cheng, et al., “New Test Structure to Identify Step Coverage Mechanisms in Chemical Vapor Deposition of Silicon Dioxide,” Appl. Phys. Lett., 58 (19), May 13, 1991, p. 2147-2149.
Fukada, et al. “Preparation of SiOF Films with Low Dielectric Constant by ECR Plasma CVD”, ISMIC, DUMIC Conference, Feb. 21-22, 1995, pp. 43-49.
Galiano, et al. “Stress-Temperature Behavior of Oxide Films Used for Intermetal Dielectric Applications”, VMIC Conference, Jun. 9-10, 1992, pp. 100-106.
Hausmann, et al., “Rapid Vapor Deposition of Highly Conformal Silica Nanolaminates,” Science, Oct. 11, 2002, p. 402-406, vol. 298.
Hayasaka, et al. “High Quality Low Dielectric Constant SiO2 CVD Using High Density Plasma,” Proceedings of the Dry Process Symposium, 1993, pp. 163-168.
Hwang, et al., “Smallest Bit-Line Contact of 76nm pitch on NAND Flash Cell by using Reversal PR (Photo Resist) and SADP (Self-Align Double Patterning) Process,” IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 2007, 3 pages.
Iijima, et al., “Highy Selective SiO2 Etch Employing Inductively Coupled Hydro-Fluorocarbon Plasma Chemistry for Self Aligned Contact Etch”, Jpn. J. Appl. Phys., Sep. 1997, pp. 5498-5501, vol. 36, Part 1, No. 9A.
International Search Report and Written Opinion for PCT Application No. PCT/US2011/027221, mailed on Nov. 1, 2011, 8 pages.
International Search Report and Written Opinion of PCT/US2010/057676 mailed on Jun. 27, 2011, 9 pages.
International Search Report and Written Opinion of PCT/US2011/030582 mailed Dec. 7, 2011, 9 pages.
International Search Report and Written Opinion of PCT/US2011/064724 mailed on Oct. 12, 2012, 8 pages.
International Search Report and Written Opinion of PCT/US2012/028952 mailed on Oct. 29, 2012, 9 pages.
International Search Report and Written Opinion of PCT/US2012/048842 mailed on Nov. 28, 2012, 10 pages.
International Search Report and Written Opinion of PCT/US2012/028957, mailed on Oct. 18, 2012, 9 pages.
Japanese Patent Office, Official Action for Application No. 2007-317207 mailed on Dec. 21, 2011, 2 pages.
Jung, et al., “Patterning with amorphous carbon spacer for expanding the resolution limit of current lithography tool”, Proc. SPIE, 2007, 9 pages, vol. 6520, 65201C.
Laxman, “Low ε Dielectrics: CVD Fluorinated Silicon Dioxides”, Semiconductor International, May 1995, pp. 71-74.
Lee, et al., “Dielectric Planarization Techniques for Narrow Pitch Multilevel Interconnects,” IEEE, V-MIC Conference Jun. 15-16, 1987, pp. 85-92 (1987).
Matsuda, et al. “Dual Frequency Plasma CVD Fluorosilicate Glass Deposition for 0.25 um Interlevel Dielectrics”, ISMIC, DUMIC Conference Feb. 21-22, 1995, 1995. pp. 22-28.
Meeks, et al., “Modeling of SiO2 deposition in high density plasma reactors and comparisons of model predictions with experimental measurements,” J. Vac. Sci. Technol. A, Mar./Apr. 1998, pp. 544-563, vol. 16(2).
Mukai, et al., “A Study of CD Budget in Spacer Patterning Process”, Toshiba, SPIE 2008, Feb. 26, 2008, 12 pages.
Musaka, “Single Step Gap Filling Technology fo Subhalf Micron Metal Spacings on Plasma Enhanced TEOS/O2 Chemical Vapor Deposition System,” Extended Abstracts of the 1993 International Conference on Solid State Devices and Materials pp. 1993, 510-512.
Ogawa, et al., “Dry Cleaning Technology for Removal of Silicon Native Oxide Employing Hot NH3/NF3 Exposure”, Japanese Journal of Applied Physics, pp. 5349-5358, Aug. 2002, vol. 41 Part 1, No. 8.
Ota, et al., “Stress Controlled Shallow Trench Isolation Technology to Suppress the Novel Anti-Isotropic Impurity Diffusion for 45nm-Node High Performance CMOSFETs,” Symposium on VLSI Technology Digest of Technical Papers, 2005, pp. 138-139.
Qian, et al., “High Density Plasma Deposition and Deep Submicron Gap Fill with Low Dielectric Constant SiOF Films,” ISMIC, DUMIC Conference Feb. 21-22, 1995, 1995, pp. 50-56.
Robles, et al. “Effects of RF Frequency and Deposition Rates on the Moisture Resistance of PECVD TEOS-Based Oxide Films”, ECS Extended Abstracts, Abstract No. 129, May 1992, pp. 215-216, vol. 92-1.
Shapiro, et al. “Dual Frequency Plasma CVD Fluorosilicate Glass: Water Absorption and Stability”, ISMIC, DUMIC Conference Feb. 21-22, 1995, 1995. pp. 118-123.
U.S. Appl. No. 60/803,499, filed May 30, 2006, 56 pages.
Usami, et al., “Low Dielectric Constant Interlayer Using Fluorine-Doped Silicon Oxide”, Jpn. J. Appl. Phys., Jan. 19, 1994. pp. 408-412, vol. 33 Part 1, No. 1B.
Weston, et al., “Ammonium Compounds,” Kirk-Othmer Encyclopedia of Chemical Technology, 2003, 30 pages see pp. 717-718, John Wiley & Sons, Inc.
Yu, et al., “Step Coverage Study of Peteos Deposition for Intermetal Dielectric Applications,” abstract, VMIC conference, Jun. 12-13, 1990, 7 pages, No. 82.
International Search Report and Written Opinion of PCT/US2012/053329 mailed on Feb. 15, 2013, 8 pages.
International Search Report and Written Opinion of PCT/US2012/057294 mailed on Mar. 18, 2013, 12 pages.
International Search Report and Written Opinion of PCT/US2012/057358 mailed on Mar. 25, 2013, 10 pages.
International Search Report and Written Opinion of PCT/US2012/058818 mailed on Apr. 1, 2013, 9 pages.
International Search Report of PCT/2013/052039 mailed on Nov. 8, 2013, 9 pages.
International Search Report of PCT/2013/037202 mailed on Aug. 23, 2013, 11 pages.
C.K. Hu, et al. “Reduced Electromigration of Cu Wires by Surface Coating” Applied Physics Letters, vol. 81, No. 10, Sep. 2, 2002- pp. 1782-1784.
European Search Report dated May 23, 2006 for EP Application No. 05251143.3.
European Examination Report dated Nov. 13, 2007 for EP Application No. 05251143.3.
EP Partial Search Report, Application No. 08150111.601235/1944796, dated Aug. 22, 2008.
Eze, F. C., “Eiectroless deposition of CoO thin films,” J. Phys. D: Appl. Phys. 32 (1999), pp. 533-540.
Galiano et al. “Stress-Temperature Behavior of Oxide Films Used for Intermetal Dielectric Applications”, VMIC Conference, Jun. 9-10, 1992, pp. 100-106.
Iijima, et al., “Highly Selective SiO2 Etch Employing Inductively Coupled Hydro-Fluorocarbon Plasma Chemistry for Self Aligned Contact Etch”, Jpn. J. Appl. Phys., Sep. 1997, pp. 5498-5501, vol. 36, Part 1, No. 9A.
International Search Report of PCT/US2009/059743 mailed on Apr. 26, 2010, 4 pages.
International Search Report of PCT/US2012/061726 mailed on May 16, 2013, 3 pages.
Lin, et al., “Manufacturing of Cu Electroless Nickei/Sn—Pb Flip Chip Solder Bumps”, IEEE Transactions on Advanced Packaging, vol. 22, No. 4 (Nov. 1999), pp. 575-579.
Lopatin, et al., “Thin Electroless barrier for copper films”, Part of the SPIE Conference of Multilevel Interconnect technology II, SPIE vol. 3508 (1998), pp. 65-77.
Musaka, “Single Step Gap Filling Technology fo Subhalf Micron Metal Spacings on Plasma Enhanced TEOS/O2 Chemical Vapor Deposition System,” Extended Abstracts of the 1993 International Conference on Solid State Devices and Materials pp. 1993, 510-512.
Pearlstein, Fred. “Eiectroless Plating,” J. Res. Natl. Bur. Stan., Ch. 31 (1963), pp. 710-747.
Saito, et al., “Electroless deposition of Ni—B, Co—B and Ni—Co—B alloys using dimethylamineborane as a reducing agent,” Journal of Applied Electrochemistry 28 559-563.
Schacham-Diamond, et al., “Electrochemically deposited thin film alloys for ULSI and MEMS applications,” Microelectronic Engineering 50 (2000), pp. 525-531.
Schacham-Diamond, et al. “Material properties of electroless 100-200 nm thick CoWP films,” Electrochemical Society Proceedings, vol. 99-34, pp. 102-110.
Smayling, et al., “APF® Pitch-Halving for 2nm Logic Cells using Gridded Design Rules”, proceedings of the SPIE, 2008, 8 pages.
Vassiliev, et al., “Trends in void-free pre-metal CVD dielectrics,” Solid State Technology, Mar. 2001, pp. 129-136.
Weston, et al., “Ammonium Compounds,” Kirk-Othmer Encyclopedia of Chemical Technology, 2003,30 pages see pp. 717-718, John Wiley & Sons, Inc.
Yosi Shacham-Diamond, et al. “High Aspect Ratio Quarter-Micron Electroless Copper Integrated Technology”, Microelectronic Engineering 37/38 (1997) pp. 77-88.
Yutaka, et al., “Selective Etching of Silicon Native Oxide with Remote-Plasma-Excited Anhydrous Hydrogen Fluoride,” Japanese Journal of Applied Physics, 1998, vol. 37, pp. L536-L538.
International Search Report and Written Opinion of PCT/US2013/076217 mailed on Apr. 28, 2014, 11 pages.
C.C. Tang and D. W. Hess, Tungsten Etching in CF4 and SF6 Discharges, J. Electrochem. Soc., 1984, 131 (1984) p. 115-120.
Related Publications (1)
Number Date Country
20130260533 A1 Oct 2013 US
Provisional Applications (1)
Number Date Country
61539279 Sep 2011 US