Low cost flowable dielectric films

Information

  • Patent Grant
  • 8889566
  • Patent Number
    8,889,566
  • Date Filed
    Monday, November 5, 2012
    11 years ago
  • Date Issued
    Tuesday, November 18, 2014
    9 years ago
Abstract
A method of forming a dielectric layer is described. The method deposits a silicon-containing film by chemical vapor deposition using a local plasma. The silicon-containing film is flowable during deposition at low substrate temperature. A silicon precursor (e.g. a silylamine, higher order silane or halogenated silane) is delivered to the substrate processing region and excited in a local plasma. A second plasma vapor or gas is combined with the silicon precursor in the substrate processing region and may include ammonia, nitrogen (N2), argon, hydrogen (H2) and/or oxygen (O2). The equipment configurations disclosed herein in combination with these vapor/gas combinations have been found to result in flowable deposition at substrate temperatures below or about 200° C. when a local plasma is excited using relatively low power.
Description
BACKGROUND OF THE INVENTION

Semiconductor device geometries have dramatically decreased in size since their introduction several decades ago. Modern semiconductor fabrication equipment routinely produce devices with 45 nm, 32 nm, and 28 nm feature sizes, and new equipment is being developed and implemented to make devices with even smaller geometries. The decreasing feature sizes result in structural features on the device having decreased spatial dimensions. The widths of gaps and trenches on the device have narrowed to a point where the aspect ratio of gap depth to its width becomes high enough to make it challenging to fill the gap with dielectric material. The depositing dielectric material is prone to clog at the top before the gap completely fills, producing a void or seam in the middle of the gap.


Over the years, many techniques have been developed to avoid having dielectric material clog the top of a gap, or to “heal” the void or seam that has been formed. One approach has been to start with highly flowable precursor materials that may be applied in a liquid phase to a spinning substrate surface (e.g., SOG deposition techniques). These flowable precursors can flow into and fill very small substrate gaps without forming voids or weak seams. However, once these highly flowable materials are deposited, they have to be hardened into a solid dielectric material.


In many instances, the hardening includes a heat treatment to remove carbon and hydroxyl groups from the deposited material to leave behind a solid dielectric such as silicon oxide. Unfortunately, the departing carbon and hydroxyl species often leave behind pores in the hardened dielectric that reduce the quality of the final material. In addition, the hardening dielectric also tends to shrink in volume, which can leave cracks and spaces at the interface of the dielectric and the surrounding substrate. In some instances, the volume of the hardened dielectric can decrease by 40% or more.


Spin-on dielectrics (SOD) have also been used to flow into features on a patterned substrate. The material is generally converted to silicon oxide from a silazane-type film which contains silicon, nitrogen and hydrogen. Applying dielectric layers as a liquid results in very thick layers and makes it difficult to fill small gaps.


Thus, there is a need for new deposition processes and materials to form dielectric materials on structured substrates using vapor deposition techniques. This and other needs are addressed in the present application.


BRIEF SUMMARY OF THE INVENTION

A method of forming a dielectric layer is described. The method deposits a silicon-containing film by chemical vapor deposition using a local plasma. The silicon-containing film is flowable during deposition at low substrate temperature. A silicon precursor (e.g. a silylamine, higher order silane or halogenated silane) is delivered to the substrate processing region and excited in a local plasma. A second plasma vapor or gas is combined with the silicon precursor in the substrate processing region and may include ammonia, nitrogen (N2), argon, hydrogen (H2) and/or oxygen (O2). The equipment configurations disclosed herein in combination with these vapor/gas combinations have been found to result in flowable deposition at substrate temperatures below or about 200° C. when a local plasma is excited using relatively low power. The process produces transient species which result in a flowable film during deposition before the film solidifies to fill gaps in a patterned substrate.


Embodiments of the invention include methods of forming a dielectric layer on a patterned substrate. The methods include flowing a silicon precursor into the substrate processing region. The methods further include flowing a plasma vapor/gas into a substrate processing region. The methods further include striking a plasma in the substrate processing region by applying a plasma power density less than or about 0.3 W/cm2. The methods further include forming the dielectric layer on the patterned substrate. The dielectric layer includes silicon and one of carbon or nitrogen. The dielectric layer is flowable during deposition.


Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the invention. The features and advantages of the invention may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.





BRIEF DESCRIPTION OF THE DRAWINGS

A further understanding of the nature and advantages of the present invention may be realized by reference to the remaining portions of the specification and the drawings wherein like reference numerals are used throughout the several drawings to refer to similar components. In some instances, a sublabel is associated with a reference numeral and follows a hyphen to denote one of multiple similar components. When reference is made to a reference numeral without specification to an existing sublabel, it is intended to refer to all such multiple similar components.



FIG. 1 is a flowchart illustrating selected steps for making a silicon oxide film according to embodiments of the invention.



FIG. 2 shows a substrate processing system according to embodiments of the invention.



FIG. 3A shows a substrate processing chamber according to embodiments of the invention.



FIG. 3B shows a gas distribution showerhead according to embodiments of the invention.





DETAILED DESCRIPTION OF THE INVENTION

A method of forming a dielectric layer is described. The method deposits a silicon-containing film by chemical vapor deposition using a local plasma. The silicon-containing film is flowable during deposition at low substrate temperature. A silicon precursor (e.g. a silylamine, higher order silane or halogenated silane) is delivered to the substrate processing region and excited in a local plasma. A second plasma vapor or gas is combined with the silicon precursor in the substrate processing region and may include ammonia, nitrogen (N2), argon, hydrogen (H2) and/or oxygen (O2). The equipment configurations disclosed herein in combination with these vapor/gas combinations have been found to result in flowable deposition at substrate temperatures below or about 200° C. when a local plasma is excited using relatively low power. The process produces transient species which result in a flowable film during deposition before the film solidifies to fill gaps in a patterned substrate.


Performing plasma chemical vapor deposition or plasma-enhanced chemical vapor deposition (PECVD) involves applying a “local” plasma within a substrate processing region to effect the deposition. Flowing a silicon precursor (e.g. a silylamine such as TSA) along with a plasma vapor/gas disclosed herein was found by the inventors to produce a dielectric layer including silicon, nitrogen, hydrogen. The film has been found to flow during deposition, which facilitates the filling of gaps common on patterned substrates used during semiconductor processing. Additional details about the methods and systems of forming the silicon oxide layer will now be described.


Exemplary Silicon Oxide Formation Process



FIG. 1 is a flowchart showing selected steps in a method 100 of making dielectric films according to embodiments of the invention. The exemplary method 100 includes providing trisilylamine to a substrate processing region 102. The method further includes flowing ammonia into the substrate processing region 104. The trisilylamine and the ammonia may be flowed concurrently into the substrate processing region and may not have been excited by a plasma prior to entry, in embodiments of the invention. Plasma excitation is provided by applying radio-frequency power to capacitive plates on either side of the substrate processing region 106. For example, the capacitive plates may be positioned above and below the substrate processing region. The plasma excitation of the combination of ammonia and the trisilylamine results in formation of a flowable dielectric layer (comprising silicon, nitrogen, hydrogen) on a patterned substrate surface.


Generally speaking, the trisilylamine may be referred to herein as a silicon precursor which contains a silylamine, but the silicon precursor may also contain carbon. The silicon precursor may contain one of trisilylamine, disilylamine or monosilylamine. The silicon precursor is delivered in the form in the gas phase in the form of a gas or vapor carried by a carrier gas. As will be discussed in detail shortly, an absence of carbon reduces the shrinkage of the deposited film. However, the silicon precursor and the dielectric film contain carbon in disclosed embodiments. Silicon carbide and silicon carbon nitride are desirable in some process flows and lie within the definition of flowable dielectric layers deposited using methods disclosed herein. That being said, the silicon precursor may be oxygen-free and carbon-free in disclosed embodiments. The lack of oxygen results in a lower or negligible concentration of silanol (Si—OH) groups in the flowable dielectric layer formed from the precursors. Excess silanol moieties in the deposited film can cause increased porosity and shrinkage during post deposition steps that remove the hydroxyl (—OH) moieties from the deposited layer.


Specific examples of silicon precursors may include silylamines such as H2N(SiH3), HN(SiH3)2, and N(SiH3)3. The silicon precursor may also be a higher order silane, herein defined as SinH2n+2, where n>=3. Silicon precursors may also be halogenated silanes and may be chlorinated silanes in embodiments of the invention. Halogenated silanes are defined herein as SinH2n+2, where n>=1 or n>=2 in disclosed embodiments, where at least one of the hydrogen atoms is removed and replaced with a halogen. The silicon precursor is, for example, hexachlorodisilane Si2Cl6) in disclosed embodiments. The flow rates of a silicon precursor may be greater than or about 40 sccm, greater than or about 60 sccm or greater than or about 100 sccm in different embodiments. All flow rates given herein refer to a dual chamber substrate processing system. Single wafer systems would require half these flow rates and other wafer sizes would require flow rates scaled by the processed area. The silicon precursor may be mixed with additional gases that may act as carrier gases, reactive gases, or both.


A plasma vapor/gas is combined with the silicon precursor and flowed into the substrate processing region or flowed separately into the substrate processing region where the combination of the silicon precursor and the plasma vapor/gas are excited in a plasma. Exemplary plasma vapor/gases include ammonia, H2, N2, O2, H2O, O3, H2O2, N2O, NO, He, and Ar, among other gases. The plasma vapor/gas may contain carbon in order to form silicon carbide and SiCN films, in which case the plasma vapor/gas may include methane, ethane, propane, butane, acetylene, or other hydrocarbon. The flow rate of the plasma vapor/gas may be greater than or about 25 sccm, greater than or about 40 sccm or greater than or about 50 sccm in disclosed embodiments. Sources of oxygen may not be included, in embodiments, to increase flowability.


As described in the example, the plasma may be ignited using a capacitively coupled plasma (CCP) configuration using radio frequencies near 13.56 MHz. However, other frequencies and excitation sources may be used such as higher and/or lower radio frequencies (e.g. 2.4 GHz in the microwave region or 350 kHz). An inductively coupled plasma (ICP) may be used in combination or in place of the CCP. The plasma power is relatively low to cause the formation of flow-inducing chemical transients in the forming film. For a 300 mm substrate, the power may be in the 10-350 W range. The power density may be less than or about 0.3 W per square centimeter, less than or about 0.25 W per square centimeter, less than or about 0.2 W per square centimeter or less than or about 0.15 W per square centimeter of patterned substrate area in embodiments of the invention. The power may be on continuously rather than pulsed in disclosed embodiments while during formation of the dielectric layer. In disclosed embodiments, striking the plasma means applying 0.025 W to 0.30 W (per square centimeter of patterned surface area) of plasma power to the substrate processing region. Patterned surface area is calculated as the area on a single plane passing through the patterned features (generally on the top surface of a substrate). The plasma excitation may also be effected by shining ultraviolet (UV) light into the substrate processing region.


Flowing the silicon precursor comprises supplying a continuous flow of the silicon precursor to the substrate processing region throughout formation of the flowable dielectric layer. Similarly, striking the plasma comprises applying a plasma power continuously throughout formation of the flowable dielectric layer. It has been found that pulsing either (and, of course, both) the silicon precursor flow or the plasma power results in a conformal film instead of the desirably flowable dielectric films described herein. The flow of plasma vapor/gas is also continuous throughout formation of the flowable dielectric layer, in embodiments of the invention.


In the substrate processing region, the silicon precursor and the plasma vapor/gas mix and react in the plasma to deposit the flowable dielectric film on the deposition substrate. The flowable dielectric film contains silicon, nitrogen, and hydrogen and may consist only of these elements in embodiments of the invention. The deposited dielectric film has flowable characteristics unlike conventional silicon nitride (Si3N4) film deposition techniques. The flowable nature during formation allows the film to flow into narrow features before solidifying. Nitrogen in the silicon-nitrogen-and-hydrogen-containing film may originate from both the plasma vapor/gas and the silicon precursor since both the silicon precursor and the nitrogen trifluoride can contain nitrogen.


The flowability of a silicon-containing film may be due to a variety of properties which result from mixing plasma vapor/gases with silicon precursor outlined herein in the presence of a low intensity local plasma as described above. These properties may include a presence of short chained polysilazane polymers which may have hydrogen and/or halogen termination. These short chains grow and network to form more dense dielectric material during and after the formation of the film. For example the deposited film may have a silazane-type, Si—NH—Si backbone (i.e., a carbon-free Si—N—H film).


In some embodiments, all precursors supplied to the plasma in the substrate processing region are carbon-free and therefore the deposited silicon-nitrogen-fluorine-and-hydrogen-containing film is also substantially carbon-free. Of course, “carbon-free” does not necessarily mean the film lacks even trace amounts of carbon. Carbon contaminants may be present in the precursor materials that find their way into the deposited silicon-and-nitrogen-containing precursor. The amount of these carbon impurities however are much less than would be found in a silicon precursor having a carbon moiety (e.g., TEOS, TMDSO, etc.).


The silicon precursor and plasma vapor/gas may also be selected to form silicon carbide or silicon carbon nitride (SiCN) rather than the aforementioned silicon nitride. In all cases, the silicon nitride, silicon carbide or SiCN may contain some hydrogen which may assist in the flowability of the film. The flowable dielectric film consists of silicon, carbon and hydrogen in disclosed embodiments. The flowable dielectric film may also consist of silicon and carbon. The flowable dielectric film consists of silicon, nitrogen and hydrogen in disclosed embodiments. The flowable dielectric film may also consist of silicon and nitrogen. The flowable dielectric film consists of silicon, carbon, nitrogen and hydrogen in disclosed embodiments. The flowable dielectric film may also consist of silicon, carbon and nitrogen.


The temperature of the substrate during deposition of the flowable dielectric layer may be less than or about 200° C., less than or about 150° C., less than or about 125° C., less than or about 100° C., less than or about 75° C., less than or about 50° C., or less than or about 30° C. in disclosed embodiments. For example, the substrate temperature may be less than 150° C. while growing a silicon-nitrogen-hydride film from a silylamine and a plasma vapor/gas. For another example, the substrate temperature may be less than 80° C. while growing a silicon-nitrogen-hydride film from a higher order silane and a plasma vapor/gas. Also in a disclosed embodiment, the substrate temperature may be less than 150° C. while growing a silicon-nitrogen-hydride film from a halogenated silane of any order and a plasma vapor/gas.


The pressure in the substrate processing region during the deposition may be greater than or about 5 Torr, greater than or about 10 Torr, greater than or about 15 Torr or greater than or about 20 Torr in disclosed embodiments. The pressure in the substrate processing region during the deposition may be less than or about 50 Torr, less than or about 40 Torr or less than or about 30 Torr in disclosed embodiments. Upper limits may be combined with lower limits to arrive at additional pressure ranges according to embodiments of the invention. The deposition rate of the flowable dielectric film may be greater than or about 400 Å/min, greater than or about 500 Å/min, greater than or about 600 Å/min or greater than or about 700 Å/min in disclosed embodiments. The thickness of the deposited dielectric layer after solidification may be much less than is possible using bulk spin-on techniques (SOG, SOD). The thickness may be less than or about 25 nm, less than or about 20 nm, less than or about 15 nm or less than or about 10 nm in disclosed embodiments. These thicknesses are measured perpendicular to the major plane of the patterned substrate and after the flowable characteristics of the deposited film have abated.


Optional steps are now described and help to cure the film (to remove some components which made the nascent film flowable but are no longer needed). Following the deposition of the flowable dielectric film, the deposition substrate may treated at an elevated temperature to remove volatile species. The deposition substrate may remain in the substrate processing region for curing, or the substrate may be transferred to a different chamber where the heat treatment is performed. The curing temperature of the substrate may be less than or about 600° C., less than or about 400° C., less than or about 300° C., less than or about 250° C., less than or about 200° C. or less than or about 150° C. in disclosed embodiments. The temperature of the substrate may be greater than or about room temperature (25° C.), greater than or about 50° C., greater than or about 100° C., greater than or about 150° C. or greater than or about 200° C. in embodiments of the invention. Any of the upper bounds may be combined with any of the lower bounds to form additional ranges for the substrate temperature according to additional disclosed embodiments.


As already discussed, the curing operation may occur in the deposition chamber or the substrate may be transferred to an ex-situ curing chamber. In either case, the deposition operation and the curing operation may be repeated to form dep-cure-dep-cure process sequences. A plasma treatment may be present in the substrate processing region during the curing operation and the plasma may be an inductively coupled plasma (ICP) or a capacitively coupled plasma (CCP). The plasma may be a high-density plasma (HDP) in disclosed embodiments. The plasma treatment may be effected by applying power at a frequency in the radio-frequency (RF) range (e.g. 13.56 MHz) or in the microwave frequency range (e.g. 2.4 GHz). The plasma may be formed from various plasma input gases such as NH3, Ar, N2, Cl2, or He in embodiments of the invention.


The patterned substrate may have a plurality of gaps for the spacing and structure of device components (e.g., transistors) formed on the substrate. The gaps may have a height and width that define an aspect ratio (AR) of the height to the width (i.e., H/W) that is significantly greater than 1:1 (e.g., 5:1 or more, 6:1 or more, 7:1 or more, 8:1 or more, 9:1 or more, 10:1 or more, 11:1 or more, 12:1 or more, etc.). In many instances the high AR is due to small gap widths of that range from about 90 nm to about 22 nm or less (e.g., less than 90 nm, 65 nm, 50 nm, 45 nm, 32 nm, 22 nm, 16 nm, etc.). The flowable dielectric layer is desirable since it can fill the exemplary narrow gaps more easily than non-flowable films or bulk flowable films using spin-on techniques, such as spin-on glass (SOG) and spin-on dielectric (SOD). Depositing thin layers of flowable material reduces the likelihood of prematurely clogging the top of a gap before it is completely filled to leave a void in the middle of the gap. The dielectric layer may be solidified after the flowable deposition. Additional parameters parameters may be introduced during the description of an exemplary silicon oxide deposition system.


Exemplary Silicon Oxide Deposition System


Deposition chambers that may implement embodiments of the present invention may include high-density plasma chemical vapor deposition (HDP-CVD) chambers, plasma enhanced chemical vapor deposition (PECVD) chambers, sub-atmospheric chemical vapor deposition (SACVD) chambers, and thermal chemical vapor deposition chambers, among other types of chambers. Specific examples of CVD systems that may implement embodiments of the invention include the CENTURA ULTIMA® HDP-CVD chambers/systems, and PRODUCER® PECVD chambers/systems, available from Applied Materials, Inc. of Santa Clara, Calif.


Examples of substrate processing chambers that can be used with exemplary methods of the invention may include those shown and described in co-assigned U.S. Provisional Patent App. No. 60/803,499 to Lubomirsky et al, filed May 30, 2006, and titled “PROCESS CHAMBER FOR DIELECTRIC GAPFILL,” the entire contents of which is herein incorporated by reference for all purposes. Additional exemplary systems may include those shown and described in U.S. Pat. Nos. 6,387,207 and 6,830,624, which are also incorporated herein by reference for all purposes.


Embodiments of the deposition systems may be incorporated into larger fabrication systems for producing integrated circuit chips. FIG. 2 shows one such system 1001 of deposition, baking and curing chambers according to disclosed embodiments. In the figure, a pair of FOUPs (front opening unified pods) 1002 supply substrate substrates (e.g., 300 mm diameter wafers) that are received by robotic arms 1004 and placed into a low pressure holding area 1006 before being placed into one of the wafer processing chambers 1008a-f. A second robotic arm 210 may be used to transport the substrate wafers from the holding area 1006 to the processing chambers 1008a-f and back.


The processing chambers 1008a-f may include one or more system components for depositing, annealing, curing and/or etching a flowable dielectric film on the substrate wafer. In one configuration, two pairs of the processing chamber (e.g., 1008c-d and 1008e-f) may be used to deposit the flowable dielectric material on the substrate, and the third pair of processing chambers (e.g., 1008a-b) may be used to anneal the deposited dielectric. In another configuration, the same two pairs of processing chambers (e.g., 1008c-d and 1008e-f) may be configured to both deposit and anneal a flowable dielectric film on the substrate, while the third pair of chambers (e.g., 1008a-b) may be used for UV or E-beam curing of the deposited film. In still another configuration, all three pairs of chambers (e.g., 1008a-f) may be configured to deposit and cure a flowable dielectric film on the substrate. In yet another configuration, two pairs of processing chambers (e.g., 1008c-d and 1008e-f) may be used for both deposition and UV or E-beam curing of the flowable dielectric, while a third pair of processing chambers (e.g. 1008a-b) may be used for annealing the dielectric film. Any one or more of the processes described may be carried out on chamber(s) separated from the fabrication system shown in different embodiments.


In addition, one or more of the process chambers 1008a-f may be configured as a wet treatment chamber. These process chambers include heating the flowable dielectric film in an atmosphere that includes moisture. Thus, embodiments of system 1001 may include wet treatment chambers 1008a-b and anneal processing chambers 1008c-d to perform both wet and dry anneals on the deposited dielectric film.



FIG. 3A is a substrate processing chamber 1101 according to disclosed embodiments. A remote plasma system (RPS) 1110 may process a gas which then travels through a gas inlet assembly 1111. Two distinct gas supply channels are visible within the gas inlet assembly 1111. A first channel 1112 carries a gas that passes through the remote plasma system (RPS) 1110, while a second channel 1113 bypasses the RPS 1110. The first channel 1112 may be used for the process gas and the second channel 1113 may be used for a treatment gas in disclosed embodiments. The lid (or conductive top portion) 1121 and a perforated partition (showerhead 1153) are shown with an insulating ring 1124 in between, which allows an AC potential to be applied to the lid 1121 relative to showerhead 1153. The process gas travels through first channel 1112 into chamber plasma region 1120 and may be excited by a plasma in chamber plasma region 1120 alone or in combination with RPS 1110. The combination of chamber plasma region 1120 and/or RPS 1110 may be referred to as a remote plasma system herein. The perforated partition (also referred to as a showerhead) 1153 separates chamber plasma region 1120 from a substrate processing region 1170 beneath showerhead 1153. Showerhead 1153 allows a plasma present in chamber plasma region 1120 to avoid directly exciting gases in substrate processing region 1170, while still allowing excited species to travel from chamber plasma region 1120 into substrate processing region 1170.


Showerhead 1153 is positioned between chamber plasma region 1120 and substrate processing region 1170 and allows plasma effluents (excited derivatives of precursors or other gases) created within chamber plasma region 1120 to pass through a plurality of through-holes 1156 that traverse the thickness of the plate. The showerhead 1153 also has one or more hollow volumes 1151 which can be filled with a precursor in the form of a vapor or gas (such as a silicon-containing precursor) and pass through small holes 1155 into substrate processing region 1170 but not directly into chamber plasma region 1120. Showerhead 1153 is thicker than the length of the smallest diameter 1150 of the through-holes 1156 in this disclosed embodiment. In order to maintain a significant concentration of excited species penetrating from chamber plasma region 1120 to substrate processing region 1170, the length 1126 of the smallest diameter 1150 of the through-holes may be restricted by forming larger diameter portions of through-holes 1156 part way through the showerhead 1153. The length of the smallest diameter 1150 of the through-holes 1156 may be the same order of magnitude as the smallest diameter of the through-holes 1156 or less in disclosed embodiments.


In the embodiment shown, showerhead 1153 may distribute (via through-holes 1156) process gases which contain a plasma vapor/gas such as ammonia and the silicon precursor. The precursors may not be excited in chamber plasma region 1120, in embodiments, since only a local plasma is necessary. Note that any of the precursors may be supplied either via through-holes 1156 or via small holes 1155 into substrate processing region 1170 since this process involves excitation by a local plasma within substrate processing region 1170. The process gases enter substrate processing region 1170 where they are excited in a local plasma to form the flowable dielectric layer on the patterned substrate.


In embodiments, the number of through-holes 1156 may be between about 60 and about 2000. Through-holes 1156 may have a variety of shapes but are most easily made round. The smallest diameter 1150 of through-holes 1156 may be between about 0.5 mm and about 20 mm or between about 1 mm and about 6 mm in disclosed embodiments. There is also latitude in choosing the cross-sectional shape of through-holes, which may be made conical, cylindrical or a combination of the two shapes. The number of small holes 1155 used to introduce a gas into substrate processing region 1170 may be between about 100 and about 5000 or between about 500 and about 2000 in different embodiments. The diameter of the small holes 1155 may be between about 0.1 mm and about 2 mm.



FIG. 3B is a bottom view of a showerhead 1153 for use with a processing chamber according to disclosed embodiments. Showerhead 1153 corresponds with the showerhead shown in FIG. 3A. Through-holes 1156 are depicted with a larger inner-diameter (ID) on the bottom of showerhead 1153 and a smaller ID at the top. Small holes 1155 are distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 1156 which helps to provide more even mixing than other embodiments described herein.


An exemplary film is created on a substrate supported by a pedestal (not shown) within substrate processing region 1170 when plasma effluents arriving through through-holes 1156 in showerhead 1153 combine with a silicon-containing precursor arriving through the small holes 1155 originating from hollow volumes 1151. Though substrate processing region 1170 may be equipped to support a plasma for other processes such as curing, no plasma is present during the growth of the exemplary film.


A plasma may be ignited either in chamber plasma region 1120 above showerhead 1153 or substrate processing region 1170 below showerhead 1153. A plasma is present in chamber plasma region 1120 to produce the radical nitrogen precursor from an inflow of a nitrogen-and-hydrogen-containing gas. An AC voltage typically in the radio frequency (RF) range is applied between the conductive top portion (lid 1121) of the processing chamber and showerhead 1153 to ignite a plasma in chamber plasma region 1120 during deposition. An RF power supply generates a high RF frequency of 13.56 MHz but may also generate other frequencies alone or in combination with the 13.56 MHz frequency.


The top plasma may be left at low or no power when the bottom plasma in the substrate processing region 1170 is turned on during the formation of the dielectric layer or while cleaning the interior surfaces bordering substrate processing region 1170. A plasma in substrate processing region 1170 is ignited by applying an AC voltage between showerhead 1153 and the pedestal or bottom of the chamber. A cleaning gas may be introduced into substrate processing region 1170 while the plasma is present.


The pedestal may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration allows the substrate temperature to be cooled or heated to maintain relatively low temperatures (from room temperature through about 120° C.). The heat exchange fluid may comprise ethylene glycol and water. The wafer support platter of the pedestal (preferably aluminum, ceramic, or a combination thereof) may also be resistively heated in order to achieve relatively high temperatures (from about 120° C. through about 1100° C.) using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles. An outer portion of the heater element may run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The wiring to the heater element passes through the stem of the pedestal.


The substrate processing system is controlled by a system controller. In an exemplary embodiment, the system controller includes a hard disk drive, a floppy disk drive and a processor. The processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards. Various parts of CVD system conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.


The system controller controls all of the activities of the deposition system. The system controller executes system control software, which is a computer program stored in a computer-readable medium. Preferably, the medium is a hard disk drive, but the medium may also be other kinds of memory. The computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process. Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to instruct the system controller.


A process for depositing a film stack on a substrate, converting a film to silicon oxide or a process for cleaning a chamber can be implemented using a computer program product that is executed by the system controller. The computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled Microsoft Windows® library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.


The interface between a user and the controller is via a flat-panel touch-sensitive monitor. In the preferred embodiment two monitors are used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians. The two monitors may simultaneously display the same information, in which case only one accepts input at a time. To select a particular screen or function, the operator touches a designated area of the touch-sensitive monitor. The touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the operator and the touch-sensitive monitor. Other devices, such as a keyboard, mouse, or other pointing or communication device, may be used instead of or in addition to the touch-sensitive monitor to allow the user to communicate with the system controller.


As used herein “substrate” may be a support substrate with or without layers formed thereon. The support substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits. A layer of “silicon oxide” may include minority concentrations of other elemental constituents such as nitrogen, hydrogen, carbon and the like. In some embodiments, silicon oxide consists essentially of silicon and oxygen. The term “precursor” is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface. A gas in an “excited state” describes a gas wherein at least some of the gas molecules are in vibrationally-excited, dissociated and/or ionized states. A gas (or precursor) may be a combination of two or more gases (or precursors). A “radical precursor” is used to describe plasma effluents (a gas in an excited state which is exiting a plasma) which participate in a reaction to either remove material from or deposit material on a surface. A “radical-nitrogen precursor” is a radical precursor which contains nitrogen and a “radical-hydrogen precursor” is a radical precursor which contains hydrogen. The phrase “inert gas” refers to any gas which does not form chemical bonds when etching or being incorporated into a film. Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film.


The term “trench” is used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches may appear circular, oval, polygonal, rectangular, or a variety of other shapes. The term “via” is used to refer to a low aspect ratio trench which may or may not be filled with metal to form a vertical electrical connection. As used herein, a conformal layer refers to a generally uniform layer of material on a surface in the same shape as the surface, i.e., the surface of the layer and the surface being covered are generally parallel. A person having ordinary skill in the art will recognize that the deposited material likely cannot be 100% conformal and thus the term “generally” allows for acceptable tolerances.


Having described several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the invention. Additionally, a number of well-known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.


Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.


As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a process” includes a plurality of such processes and reference to “the precursor” includes reference to one or more precursor and equivalents thereof known to those skilled in the art, and so forth.


Also, the words “comprise,” “comprising,” “include,” “including,” and “includes” when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims
  • 1. A method of forming a dielectric layer on a patterned substrate, the method comprising: Transferring the patterned substrate into a substrate processing region;flowing a silicon precursor into the substrate processing region;flowing a plasma vapor/gas into the substrate processing region, wherein flowing the plasma vapor/gas and flowing the silicon precursor occur concurrently;striking a plasma in the substrate processing region by applying a plasma power less than or about 0.3 W per square centimeter of patterned substrate area; andforming the dielectric layer on the patterned substrate, wherein the dielectric layer comprises silicon and one of nitrogen or carbon and the dielectric layer is flowable during deposition,wherein flowing the silicon precursor comprises supplying a continuous flow of the silicon precursor to the substrate processing region throughout formation of the flowable dielectric layer, and wherein striking a plasma comprises applying a plasma power continuously throughout formation of the flowable dielectric layer.
  • 2. The method of claim 1 wherein a temperature of the patterned substrate is less than 200° C. while forming the flowable dielectric layer.
  • 3. The method of claim 1 wherein the plasma vapor/gas comprises one of ammonia, hydrogen (H2), argon, nitrogen (N2), a hydrocarbon or oxygen (O2).
  • 4. The method of claim 1 wherein the silicon precursor is one of trisilylamine, disilylamine or monosilylamine.
  • 5. The method of claim 1 wherein the silicon precursor comprises a higher order silane containing three or more silicon atoms.
  • 6. The method of claim 1 wherein the silicon precursor comprises a halogen-substituted silane.
  • 7. The method of claim 6 wherein the halogen-substituted silane is hexachlorodisilane.
  • 8. The method of claim 1 wherein the dielectric layer is one of silicon carbide, silicon nitride or SiCN.
  • 9. The method of claim 1 wherein the dielectric layer consists of silicon, nitrogen, and hydrogen.
  • 10. The method of claim 1 wherein the dielectric layer consists of silicon, carbon, nitrogen, and hydrogen.
  • 11. The method of claim 1 wherein striking the plasma comprises applying radio frequencies (RF) to the substrate processing region by either capacitive or inductive means.
  • 12. The method of claim 1 wherein striking the plasma comprises shining ultraviolet (UV) light into the substrate processing region.
  • 13. The method of claim 1 wherein striking the plasma comprises applying 0.025 W to 0.30 W (per square centimeter of patterned surface area) of plasma power to the substrate processing region.
  • 14. The method of claim 1 wherein striking the plasma comprises applying plasma power continuously throughout while forming the dielectric layer.
  • 15. The method of claim 1 wherein the substrate is patterned and has a trench having a width of about 50 nm or less.
  • 16. The method of claim 1 further comprising solidifying the dielectric layer after the operation of forming the dielectric layer.
  • 17. The method of claim 16 wherein a thickness of the dielectric layer after solidification is about 25 nm or less perpendicular to the major plane of the patterned substrate.
  • 18. The method of claim 1 wherein the silicon precursor, the plasma vapor/gas and the dielectric layer are each carbon-free.
  • 19. The method of claim 1 wherein a temperature of the patterned substrate is less than 100° C. while forming the flowable dielectric layer.
  • 20. The method of claim 1 wherein a temperature of the patterned substrate is less than 30° C. while forming the flowable dielectric layer.
CROSS-REFERENCES TO RELATED APPLICATIONS

This application claims the benefit of U.S. Provisional Application No. 61/699,495 by Chatterjee et al, filed Sep. 11, 2012 and titled “LOW COST FLOWABLE DIELECTRIC FILMS” which is incorporated herein in its entirety for all purposes.

US Referenced Citations (487)
Number Name Date Kind
4147571 Stringfellow et al. Apr 1979 A
4200666 Reinberg Apr 1980 A
4816098 Davis et al. Mar 1989 A
4818326 Liu et al. Apr 1989 A
4848400 Grant et al. Jul 1989 A
4910043 Freeman et al. Mar 1990 A
4931354 Wakino et al. Jun 1990 A
4946593 Pinigis Aug 1990 A
5016332 Reichelderfer et al. May 1991 A
5081069 Parker et al. Jan 1992 A
5110407 Ono et al. May 1992 A
5125360 Nakayama et al. Jun 1992 A
5148714 McDiarmid Sep 1992 A
5212119 Hah et al. May 1993 A
5252178 Moslehi Oct 1993 A
5279784 Bender et al. Jan 1994 A
5304250 Sameshima et al. Apr 1994 A
5328558 Kawamura Jul 1994 A
5364488 Minato et al. Nov 1994 A
5393708 Hsia et al. Feb 1995 A
5412180 Coombs May 1995 A
5434109 Geissler et al. Jul 1995 A
5443647 Aucoin et al. Aug 1995 A
5468687 Carl et al. Nov 1995 A
5485420 Lage et al. Jan 1996 A
5530293 Cohen et al. Jun 1996 A
5547703 Camilletti et al. Aug 1996 A
5558717 Zhao et al. Sep 1996 A
5569350 Osada et al. Oct 1996 A
5578532 van de Ven et al. Nov 1996 A
5587014 Iyechika et al. Dec 1996 A
5620525 van de Ven et al. Apr 1997 A
5622784 Okaue et al. Apr 1997 A
5630881 Ogure et al. May 1997 A
5635409 Moslehi Jun 1997 A
5665643 Shin Sep 1997 A
5683518 Moore et al. Nov 1997 A
5691009 Sandhu Nov 1997 A
5769951 van de Ven et al. Jun 1998 A
5786263 Perera Jul 1998 A
5811325 Lin et al. Sep 1998 A
5812403 Fong et al. Sep 1998 A
5843233 van de Ven et al. Dec 1998 A
5853607 Zhao et al. Dec 1998 A
5882414 Fong et al. Mar 1999 A
5882417 van de Ven et al. Mar 1999 A
5902407 deBoer et al. May 1999 A
5925411 van de Ven et al. Jul 1999 A
5926737 Ameen et al. Jul 1999 A
5935340 Xia et al. Aug 1999 A
5961850 Satou et al. Oct 1999 A
5966595 Thakur et al. Oct 1999 A
6008515 Hsia et al. Dec 1999 A
6009830 Li et al. Jan 2000 A
6014979 Van Autryve et al. Jan 2000 A
6017791 Wang et al. Jan 2000 A
6021785 Grutzediek et al. Feb 2000 A
6024044 Law et al. Feb 2000 A
6074696 Sato Jun 2000 A
6077412 Ting et al. Jun 2000 A
6087243 Wang Jul 2000 A
6090442 Klaus et al. Jul 2000 A
6110838 Loewenstein Aug 2000 A
6114219 Spikes, Jr. et al. Sep 2000 A
6121130 Chua et al. Sep 2000 A
6146970 Witek et al. Nov 2000 A
6148761 Majewski et al. Nov 2000 A
6156394 Yamasaki et al. Dec 2000 A
6156581 Vaudo et al. Dec 2000 A
6165834 Agarwal et al. Dec 2000 A
6180490 Vassiliev et al. Jan 2001 B1
6187682 Denning et al. Feb 2001 B1
6191004 Hsiao Feb 2001 B1
6203657 Collison et al. Mar 2001 B1
6207587 Li et al. Mar 2001 B1
6211040 Liu et al. Apr 2001 B1
6258690 Zenke Jul 2001 B1
6287962 Lin Sep 2001 B1
6296255 Hashimoto Oct 2001 B1
6339997 Nakagawa et al. Jan 2002 B1
6355581 Vassiliev et al. Mar 2002 B1
6383954 Wang et al. May 2002 B1
6387207 Janakiraman et al. May 2002 B1
6406677 Carter et al. Jun 2002 B1
6413583 Moghadam et al. Jul 2002 B1
6448187 Yau et al. Sep 2002 B2
6450117 Murugesh et al. Sep 2002 B1
6469283 Burkhart et al. Oct 2002 B1
6503557 Joret Jan 2003 B1
6506253 Sakuma Jan 2003 B2
6508879 Hashimoto Jan 2003 B1
6524931 Perera Feb 2003 B1
6528332 Mahanpour et al. Mar 2003 B2
6548416 Han et al. Apr 2003 B2
6566278 Harvey et al. May 2003 B1
6583063 Khan et al. Jun 2003 B1
6583069 Vassiliev et al. Jun 2003 B1
6599839 Gabriel et al. Jul 2003 B1
6602806 Xia et al. Aug 2003 B1
6614181 Harvey et al. Sep 2003 B1
6645303 Frankel et al. Nov 2003 B2
6656804 Tsujikawa et al. Dec 2003 B2
6660391 Rose et al. Dec 2003 B1
6660662 Ishikawa et al. Dec 2003 B2
6667553 Cerny et al. Dec 2003 B2
6670284 Yin Dec 2003 B2
6676751 Solomon et al. Jan 2004 B2
6682659 Cho et al. Jan 2004 B1
6682969 Basceri et al. Jan 2004 B1
6683364 Oh et al. Jan 2004 B2
6706634 Seitz et al. Mar 2004 B1
6716770 O'Neill et al. Apr 2004 B2
6758224 Nogami Jul 2004 B2
6762126 Cho et al. Jul 2004 B2
6787191 Hanahata et al. Sep 2004 B2
6800571 Cheung et al. Oct 2004 B2
6812157 Gadgil Nov 2004 B1
6818517 Maes Nov 2004 B1
6819886 Runkowske et al. Nov 2004 B2
6830624 Janakiraman et al. Dec 2004 B2
6833052 Li et al. Dec 2004 B2
6833322 Anderson et al. Dec 2004 B2
6833578 Tu et al. Dec 2004 B1
6835278 Selbrede et al. Dec 2004 B2
6849520 Kim et al. Feb 2005 B2
6858523 DeBoer et al. Feb 2005 B2
6858533 Chu et al. Feb 2005 B2
6872323 Entley et al. Mar 2005 B1
6875687 Weidman et al. Apr 2005 B1
6884685 Luo et al. Apr 2005 B2
6890403 Cheung et al. May 2005 B2
6900067 Kobayashi et al. May 2005 B2
6935466 Lubomirsky et al. Aug 2005 B2
6955836 Kumagai et al. Oct 2005 B2
6958112 Karim et al. Oct 2005 B2
7018902 Visokay et al. Mar 2006 B2
7077904 Cho et al. Jul 2006 B2
7084076 Park et al. Aug 2006 B2
7087497 Yuan et al. Aug 2006 B2
7109114 Chen et al. Sep 2006 B2
7115419 Suzuki Oct 2006 B2
7122222 Xiao et al. Oct 2006 B2
7129185 Aoyama et al. Oct 2006 B2
7148155 Tarafdar et al. Dec 2006 B1
7183177 Al-Bayati et al. Feb 2007 B2
7192626 Dussarrat et al. Mar 2007 B2
7205248 Li et al. Apr 2007 B2
7220461 Hasebe et al. May 2007 B2
7297608 Papasouliotis et al. Nov 2007 B1
7399388 Moghadam et al. Jul 2008 B2
7419903 Haukka et al. Sep 2008 B2
7435661 Miller et al. Oct 2008 B2
7456116 Ingle et al. Nov 2008 B2
7479210 Mullapudi et al. Jan 2009 B2
7498273 Mallick et al. Mar 2009 B2
7514375 Shanker et al. Apr 2009 B1
7521378 Fucsko et al. Apr 2009 B2
7524735 Gauri et al. Apr 2009 B1
7524750 Nemani et al. Apr 2009 B2
7541297 Mallick et al. Jun 2009 B2
7622369 Lee et al. Nov 2009 B1
7745352 Mallick et al. Jun 2010 B2
7749574 Mahajani et al. Jul 2010 B2
7790634 Munro et al. Sep 2010 B2
7803722 Liang Sep 2010 B2
7825038 Ingle et al. Nov 2010 B2
7825044 Mallick et al. Nov 2010 B2
7867923 Mallick et al. Jan 2011 B2
7902080 Chen et al. Mar 2011 B2
7915139 Lang et al. Mar 2011 B1
7935643 Liang et al. May 2011 B2
7943514 West May 2011 B2
7943531 Nemani et al. May 2011 B2
7964040 Rasheed et al. Jun 2011 B2
7989365 Park et al. Aug 2011 B2
7994019 Kweskin et al. Aug 2011 B1
8119544 Hasebe et al. Feb 2012 B2
8129555 Cheng et al. Mar 2012 B2
8232176 Lubomirsky et al. Jul 2012 B2
8236708 Kweskin et al. Aug 2012 B2
8242031 Mallick et al. Aug 2012 B2
8264066 Lo et al. Sep 2012 B2
8304351 Wang et al. Nov 2012 B2
8318584 Li et al. Nov 2012 B2
8445078 Liang et al. May 2013 B2
8449942 Liang et al. May 2013 B2
8466067 Liang et al. Jun 2013 B2
8466073 Wang et al. Jun 2013 B2
8557712 Antonelli et al. Oct 2013 B1
8765573 Mallick et al. Jul 2014 B2
8771539 Zhang et al. Jul 2014 B2
20010006070 Shang et al. Jul 2001 A1
20010021595 Jang Sep 2001 A1
20010024691 Kimura et al. Sep 2001 A1
20010029114 Vulpio et al. Oct 2001 A1
20010029892 Cook et al. Oct 2001 A1
20010038919 Berry et al. Nov 2001 A1
20010040099 Pedersen et al. Nov 2001 A1
20010042511 Liu et al. Nov 2001 A1
20010048980 Kishimoto et al. Dec 2001 A1
20010054387 Frankel et al. Dec 2001 A1
20010055889 Iyer Dec 2001 A1
20020000202 Yuda et al. Jan 2002 A1
20020027286 Sundararajan et al. Mar 2002 A1
20020048969 Suzuki et al. Apr 2002 A1
20020068416 Hsieh et al. Jun 2002 A1
20020068466 Lee et al. Jun 2002 A1
20020079523 Zheng et al. Jun 2002 A1
20020081817 Bhakta et al. Jun 2002 A1
20020081842 Sambucetti et al. Jun 2002 A1
20020086166 Hendricks et al. Jul 2002 A1
20020119607 Miyasaka et al. Aug 2002 A1
20020127350 Ishikawa et al. Sep 2002 A1
20020129769 Kim et al. Sep 2002 A1
20020139307 Ryding et al. Oct 2002 A1
20020142585 Mandal Oct 2002 A1
20020146879 Fu et al. Oct 2002 A1
20020160585 Park Oct 2002 A1
20020163637 Rossman et al. Nov 2002 A1
20020164421 Chiang et al. Nov 2002 A1
20020164429 Gaillard et al. Nov 2002 A1
20020164891 Gates et al. Nov 2002 A1
20020177298 Konishi et al. Nov 2002 A1
20020182893 Ballantine et al. Dec 2002 A1
20020185067 Upham Dec 2002 A1
20020189760 Park Dec 2002 A1
20030001201 Yuzuriha et al. Jan 2003 A1
20030023113 Druzkowski et al. Jan 2003 A1
20030040199 Agarwal Feb 2003 A1
20030064154 Laxman et al. Apr 2003 A1
20030073310 Olgado et al. Apr 2003 A1
20030077918 Wu et al. Apr 2003 A1
20030094773 Lerner May 2003 A1
20030113992 Yau et al. Jun 2003 A1
20030118748 Kumagai et al. Jun 2003 A1
20030124873 Xing et al. Jul 2003 A1
20030143328 Chen et al. Jul 2003 A1
20030172872 Thakur et al. Sep 2003 A1
20030194881 Totsuka et al. Oct 2003 A1
20030199151 Ho et al. Oct 2003 A1
20030203653 Buchanan et al. Oct 2003 A1
20030207561 Dubin et al. Nov 2003 A1
20030232495 Moghadam et al. Dec 2003 A1
20040008334 Sreenivasan et al. Jan 2004 A1
20040020601 Zhao et al. Feb 2004 A1
20040029352 Beyer et al. Feb 2004 A1
20040048492 Ishikawa et al. Mar 2004 A1
20040065253 Tois et al. Apr 2004 A1
20040082131 Tsujikawa et al. Apr 2004 A1
20040083967 Yuda et al. May 2004 A1
20040084680 Ruelke et al. May 2004 A1
20040094091 Yang et al. May 2004 A1
20040110354 Natzle et al. Jun 2004 A1
20040134773 Pedersen et al. Jul 2004 A1
20040139983 Lakshmanan et al. Jul 2004 A1
20040144490 Zhao et al. Jul 2004 A1
20040152342 Li et al. Aug 2004 A1
20040159343 Kaoru et al. Aug 2004 A1
20040161899 Luo et al. Aug 2004 A1
20040166680 Miyajima et al. Aug 2004 A1
20040175501 Lukas et al. Sep 2004 A1
20040180557 Park et al. Sep 2004 A1
20040183202 Usami Sep 2004 A1
20040185641 Tanabe et al. Sep 2004 A1
20040194706 Wang et al. Oct 2004 A1
20040197843 Chou et al. Oct 2004 A1
20040206305 Choi et al. Oct 2004 A1
20040211664 Wang Oct 2004 A1
20040219780 Ohuchi Nov 2004 A1
20040224534 Beulens et al. Nov 2004 A1
20040231590 Ovshinsky Nov 2004 A1
20040231799 Lee et al. Nov 2004 A1
20040241342 Karim et al. Dec 2004 A1
20040253826 Ivanov et al. Dec 2004 A1
20050001556 Hoffman et al. Jan 2005 A1
20050014354 Ozawa et al. Jan 2005 A1
20050019494 Moghadam et al. Jan 2005 A1
20050026443 Goo et al. Feb 2005 A1
20050042889 Lee et al. Feb 2005 A1
20050062165 Saenger et al. Mar 2005 A1
20050087140 Yuda et al. Apr 2005 A1
20050112901 Ji et al. May 2005 A1
20050118794 Babayan et al. Jun 2005 A1
20050121145 Du Bois et al. Jun 2005 A1
20050153574 Mandal Jul 2005 A1
20050160974 Ivanov et al. Jul 2005 A1
20050181555 Haukka et al. Aug 2005 A1
20050186731 Derderian et al. Aug 2005 A1
20050186789 Agarwal Aug 2005 A1
20050196533 Hasebe et al. Sep 2005 A1
20050196935 Ishitsuka et al. Sep 2005 A1
20050196977 Saito et al. Sep 2005 A1
20050217578 Gurary et al. Oct 2005 A1
20050224866 Higashi et al. Oct 2005 A1
20050227017 Senzaki et al. Oct 2005 A1
20050227499 Park et al. Oct 2005 A1
20050230350 Kao et al. Oct 2005 A1
20050233595 Choi et al. Oct 2005 A1
20050250340 Chen et al. Nov 2005 A1
20050257890 Park et al. Nov 2005 A1
20050260347 Narwankar et al. Nov 2005 A1
20050287775 Hasebe et al. Dec 2005 A1
20060014399 Joe Jan 2006 A1
20060030151 Ding et al. Feb 2006 A1
20060030165 Ingle et al. Feb 2006 A1
20060046506 Fukiage Mar 2006 A1
20060055004 Gates et al. Mar 2006 A1
20060068599 Baek et al. Mar 2006 A1
20060075966 Chen et al. Apr 2006 A1
20060075967 Lu et al. Apr 2006 A1
20060088985 Haverkort et al. Apr 2006 A1
20060090694 Cho et al. May 2006 A1
20060091104 Takeshita et al. May 2006 A1
20060096540 Choi May 2006 A1
20060102977 Fucsko et al. May 2006 A1
20060105106 Balseanu et al. May 2006 A1
20060110939 Joshi et al. May 2006 A1
20060110943 Swerts et al. May 2006 A1
20060158101 Camilletti et al. Jul 2006 A1
20060159847 Porter et al. Jul 2006 A1
20060160372 Dorfman Jul 2006 A1
20060162661 Jung et al. Jul 2006 A1
20060178018 Olsen Aug 2006 A1
20060211265 Trott Sep 2006 A1
20060223315 Yokota et al. Oct 2006 A1
20060225648 Rasheed et al. Oct 2006 A1
20060228903 McSwiney et al. Oct 2006 A1
20060251499 Lunday et al. Nov 2006 A1
20060252240 Gschwandtner et al. Nov 2006 A1
20060263522 Byun Nov 2006 A1
20060281496 Cedraeus Dec 2006 A1
20060286774 Singh et al. Dec 2006 A1
20060286776 Ranish et al. Dec 2006 A1
20070004170 Kawasaki et al. Jan 2007 A1
20070010072 Bailey et al. Jan 2007 A1
20070020392 Kobrin et al. Jan 2007 A1
20070026689 Nakata et al. Feb 2007 A1
20070031598 Okuyama et al. Feb 2007 A1
20070031609 Kumar et al. Feb 2007 A1
20070032054 Ramaswamy et al. Feb 2007 A1
20070049044 Marsh Mar 2007 A1
20070065578 McDougall Mar 2007 A1
20070066022 Chen et al. Mar 2007 A1
20070077777 Gumpher Apr 2007 A1
20070080057 Yasuhiro et al. Apr 2007 A1
20070092661 Ryuzaki et al. Apr 2007 A1
20070099438 Ye et al. May 2007 A1
20070108404 Stewart et al. May 2007 A1
20070111546 Iyer et al. May 2007 A1
20070128864 Ma et al. Jun 2007 A1
20070134433 Dussarrat et al. Jun 2007 A1
20070166892 Hori Jul 2007 A1
20070173073 Weber Jul 2007 A1
20070181966 Watatani et al. Aug 2007 A1
20070232071 Balseanu et al. Oct 2007 A1
20070232082 Balseanu et al. Oct 2007 A1
20070235062 Naozumi et al. Oct 2007 A1
20070275569 Moghadam et al. Nov 2007 A1
20070277734 Lubomirsky et al. Dec 2007 A1
20070281106 Lubomirsky et al. Dec 2007 A1
20070281448 Chen et al. Dec 2007 A1
20070281495 Mallick et al. Dec 2007 A1
20070281496 Ingle et al. Dec 2007 A1
20070289534 Lubomirsky et al. Dec 2007 A1
20070298585 Lubomirsky et al. Dec 2007 A1
20080000423 Fukiage Jan 2008 A1
20080014711 Choi et al. Jan 2008 A1
20080014759 Chua et al. Jan 2008 A1
20080020591 Balseanu et al. Jan 2008 A1
20080026597 Munro et al. Jan 2008 A1
20080038486 Treichel et al. Feb 2008 A1
20080063809 Lee et al. Mar 2008 A1
20080070409 Park et al. Mar 2008 A1
20080081104 Hasebe et al. Apr 2008 A1
20080085607 Yu et al. Apr 2008 A1
20080096364 Wilson et al. Apr 2008 A1
20080099431 Kumar et al. May 2008 A1
20080102223 Wagner et al. May 2008 A1
20080102650 Adams et al. May 2008 A1
20080178805 Paterson et al. Jul 2008 A1
20080182382 Ingle et al. Jul 2008 A1
20080188087 Chen et al. Aug 2008 A1
20080206954 Choi et al. Aug 2008 A1
20080241358 Joe et al. Oct 2008 A1
20080260969 Dussarrat et al. Oct 2008 A1
20080305648 Fukazawa et al. Dec 2008 A1
20080318429 Ozawa et al. Dec 2008 A1
20090031953 Ingle et al. Feb 2009 A1
20090035917 Ahn et al. Feb 2009 A1
20090053901 Goto et al. Feb 2009 A1
20090054674 Lukas et al. Feb 2009 A1
20090061647 Mallick et al. Mar 2009 A1
20090075490 Dussarrat et al. Mar 2009 A1
20090093132 Xu et al. Apr 2009 A1
20090095714 Chen et al. Apr 2009 A1
20090104755 Mallick et al. Apr 2009 A1
20090104789 Mallick et al. Apr 2009 A1
20090104790 Liang Apr 2009 A1
20090104791 Nemani et al. Apr 2009 A1
20090104798 Hirano Apr 2009 A1
20090120368 Lubomirsky et al. May 2009 A1
20090120464 Muhammad et al. May 2009 A1
20090120584 Lubomirsky et al. May 2009 A1
20090142935 Fukuzawa et al. Jun 2009 A1
20090170282 Dong Jul 2009 A1
20090181550 Hasebe et al. Jul 2009 A1
20090194809 Cho Aug 2009 A1
20090203225 Gates et al. Aug 2009 A1
20090206409 Arisumi et al. Aug 2009 A1
20090209081 Matero et al. Aug 2009 A1
20090215251 Vellaikal et al. Aug 2009 A1
20090224374 Bhatia et al. Sep 2009 A1
20090232985 Dussarrat et al. Sep 2009 A1
20090242957 Ma et al. Oct 2009 A1
20090277587 Lubomirsky et al. Nov 2009 A1
20090280650 Lubomirsky et al. Nov 2009 A1
20090289284 Goh et al. Nov 2009 A1
20090294925 Lin et al. Dec 2009 A1
20090298257 Lee et al. Dec 2009 A1
20090325391 De Vusser et al. Dec 2009 A1
20100052066 Yu et al. Mar 2010 A1
20100059889 Gosset et al. Mar 2010 A1
20100081094 Hasebe et al. Apr 2010 A1
20100081293 Mallick et al. Apr 2010 A1
20100099236 Kwon et al. Apr 2010 A1
20100136313 Shimizu et al. Jun 2010 A1
20100140756 Kozasa et al. Jun 2010 A1
20100143609 Fukazawa et al. Jun 2010 A1
20100184302 Lee et al. Jul 2010 A1
20100190317 Iwasawa et al. Jul 2010 A1
20100190348 Akae et al. Jul 2010 A1
20100221428 Dussarrat Sep 2010 A1
20100221925 Lee et al. Sep 2010 A1
20100227276 Mizuno Sep 2010 A1
20100230052 Iizuka Sep 2010 A1
20100255655 Mallick et al. Oct 2010 A1
20100261318 Feng et al. Oct 2010 A1
20100283097 Endoh et al. Nov 2010 A1
20110014798 Mallick et al. Jan 2011 A1
20110034035 Liang et al. Feb 2011 A1
20110034039 Liang et al. Feb 2011 A1
20110045676 Park et al. Feb 2011 A1
20110111137 Liang et al. May 2011 A1
20110129616 Ingle et al. Jun 2011 A1
20110136347 Kovarsky et al. Jun 2011 A1
20110151677 Wang et al. Jun 2011 A1
20110151678 Ashtiani et al. Jun 2011 A1
20110159213 Cai et al. Jun 2011 A1
20110159703 Liang et al. Jun 2011 A1
20110165347 Miller et al. Jul 2011 A1
20110165781 Liang et al. Jul 2011 A1
20110186990 Mawatari et al. Aug 2011 A1
20110187000 West Aug 2011 A1
20110217851 Liang et al. Sep 2011 A1
20110223774 Kweskin et al. Sep 2011 A1
20120003840 Wang et al. Jan 2012 A1
20120009802 LaVoie et al. Jan 2012 A1
20120073501 Lubomirsky et al. Mar 2012 A1
20120074387 King Mar 2012 A1
20120079982 Lubomirsky et al. Apr 2012 A1
20120083133 Solis et al. Apr 2012 A1
20120094468 Bhatia et al. Apr 2012 A1
20120094476 Tanaka et al. Apr 2012 A1
20120111831 Ha May 2012 A1
20120122302 Weidman et al. May 2012 A1
20120142192 Li et al. Jun 2012 A1
20120145079 Lubomirsky et al. Jun 2012 A1
20120149213 Nittala et al. Jun 2012 A1
20120161405 Mohn et al. Jun 2012 A1
20120177846 Li et al. Jul 2012 A1
20120180954 Yang et al. Jul 2012 A1
20120190178 Wang et al. Jul 2012 A1
20120193778 Mawatari Aug 2012 A1
20120211462 Zhang et al. Aug 2012 A1
20120213940 Mallick Aug 2012 A1
20120225565 Bhatia et al. Sep 2012 A1
20120238108 Chen et al. Sep 2012 A1
20120269989 Liang et al. Oct 2012 A1
20120292720 Chen et al. Nov 2012 A1
20120309205 Wang et al. Dec 2012 A1
20130062736 Brighton et al. Mar 2013 A1
20130084711 Liang et al. Apr 2013 A1
20130149462 Liang et al. Jun 2013 A1
20130193578 Yu et al. Aug 2013 A1
20130230987 Draeger et al. Sep 2013 A1
20130288485 Liang et al. Oct 2013 A1
20140073144 Chatterjee et al. Mar 2014 A1
Foreign Referenced Citations (80)
Number Date Country
1830072 Sep 2006 CN
19654737 Jul 1997 DE
0892083 Jan 1999 EP
1095958 May 2001 EP
1469509 Oct 2004 EP
1 601 011 Nov 2006 EP
1717848 Nov 2006 EP
1791161 May 2007 EP
2022087 May 2007 EP
57-75738 Oct 1980 JP
61-234534 Oct 1986 JP
64-048425 Feb 1989 JP
1-198033 Aug 1989 JP
01-235259 Sep 1989 JP
01241826 Sep 1989 JP
03-197684 Aug 1991 JP
03-286531 Dec 1991 JP
2004-328825 Nov 1992 JP
05-259156 Oct 1993 JP
05-304147 Nov 1993 JP
06-077150 Mar 1994 JP
6-168930 Jun 1994 JP
07-014826 Jan 1995 JP
07-169762 Jul 1995 JP
07-316823 Dec 1995 JP
08-236518 Sep 1996 JP
08-288286 Nov 1996 JP
09-008014 Jan 1997 JP
09-237785 Sep 1997 JP
10-163183 Jun 1998 JP
11-274285 Oct 1999 JP
2001-148382 May 2001 JP
2002-370059 Dec 2002 JP
2003-179054 Jun 2003 JP
2004-012315 Jan 2004 JP
2004-327639 Nov 2004 JP
2004-536444 Dec 2004 JP
2005-142448 Jun 2005 JP
2005-268396 Sep 2005 JP
2005-302848 Oct 2005 JP
2006-041539 Feb 2006 JP
2006-210878 Aug 2006 JP
2007-019067 Jan 2007 JP
2007-191728 Aug 2007 JP
2007-324154 Dec 2007 JP
2008-159824 Jul 2008 JP
2008218684 Sep 2008 JP
2011-220127 Nov 2011 JP
10-1999-0010957 Feb 1999 KR
0204793 Mar 1999 KR
1020000011360 Feb 2000 KR
1020020013383 Feb 2002 KR
10-2004-0091978 Nov 2004 KR
1020040104533 Dec 2004 KR
10-2005-0003758 Jan 2005 KR
10-2005-0072332 Jul 2005 KR
10-2005-0085838 Aug 2005 KR
10-2005-0094183 Sep 2005 KR
1020060081350 Jul 2006 KR
1020060103640 Oct 2006 KR
10-2009-0011765 Feb 2009 KR
10-2009-0121361 Nov 2009 KR
10-2009-0122860 Dec 2009 KR
10-2010-0085743 Jul 2010 KR
200514163 Apr 2005 TW
200707582 Feb 2007 TW
02077320 Oct 2002 WO
03066933 Aug 2003 WO
2005078784 Aug 2005 WO
2006014034 Feb 2006 WO
2007040856 Apr 2007 WO
2007140376 Dec 2007 WO
2007140377 Dec 2007 WO
2007140424 Dec 2007 WO
2009055340 Apr 2009 WO
2010080216 Jul 2010 WO
WO 2011084812 Jul 2011 WO
2012145148 Oct 2012 WO
2013-025336 Feb 2013 WO
WO 2014042833 Mar 2014 WO
Non-Patent Literature Citations (39)
Entry
Alexandrov, Sergei E., et al., “Formation of Silicon Nitride Films by Remote Plasma-enhanced Chemical Vapour Deposition”. Advanced Materials for Optics and Electronics, vol. 2, 301-312 (1993).
Aylett, B. J. et al., “Silicon-Nitrogen Compounds. Part V. Diphenylamino-derivatives of Silane,” J. Chem. Soc. (A), Apr. 1969, pp. 636-638.
Aylett, B. J. et al., “Silicon-Nitrogen Compounds. Part VI. 1 The Preparation and Properties of Disilazane,” J. Chem. Soc. (A), Apr. 1969, pp. 639-642.
Aylett, B. J. et al., “The Preparation and Some Properties of Disilylamine-Correspondence,” Inorganic Chemistry, Jan. 1966, p. 167.
Beach, “Infrared and Mass Spectroscopic Study of the Reaction of Silyl Iodide and Ammonia. Infrared Spectrum to Silylamine,” Inorganic Chemistry, Sep. 1992, pp. 4174-4177, vol. 31 No. 20.
Bowen, C., et al., “New Processing Techniques: Sweeping of Quartz Wafers and a Practical Method for Processing Quartz Resonators Under Controlled Conditions,” Proceedings of the 1992 IEEE Frequency Control Symposium, pp. 648-656.
Burg, Anton B. et al., “Silyl-Amino Boron Compounds,” J. Amer. Chem. Soc., Jul. 1950, pp. 3103-3107, vol. 72.
Coltrin, M.E., et al., “Chemistry of AlGaN Particulate Formation,” National Nuclear Security Administration, Physical, Chemical, & Nano Sciences Center, Research Briefs, 2005, pp. 42-43.
Davison, A et al., “The Raman Spectra of Manganese and Rhenium Carbonyl Hydrides and Some Related Species,” Inorganic Chemistry, Apr. 1967, pp. 845-847, vol. 6 No. 4.
Dussarrat, C. et al., “Low Pressure Chemical Vapor Deposition of Silicon Nitride Using Mono- and Disilylamine,” Chemical Vapor Deposition XVI and EUROCVD 14 vol. 2 Proceedings of the International Symposium, Part of the 203rd Electrochemical Society Meeting in Paris France, Apr. 27-May 2, 2003, 11 pages.
Franz, et al., “Conversion of silicon nitride into silicon dioxide through the influence of oxygen,” Solid-State Electronics, Jun. 1971, pp. 449-505, vol. 14, Issue 6, Germany. Abstract Only.
Gulleri, G. et al., “Deposition Temperature Determination of HDPCVD Silicon Dioxide Films,” 2005, Microelectronic Engineering, vol. 82, pp. 236-241.
International Search Report and Written Opinion of PCT/US2011/054635, mailed Jul. 9, 2012, 11 pages.
International Search Report and Written Opinion of PCT/US2011/054981, mailed May 9, 2012, 10 pages.
International Search Report and Written Opinion of PCT/US2011/054984, mailed May 11, 2012, 10 pages.
International Search Report and Written Opinion of PCT/US2011/066275, mailed Sep. 24, 2012, 9 pages.
International Search Report and Written Opinion of PCT/US2011/066281, mailed Jul. 19, 2012, 10 pages.
International Search Report and Written Opinion of PCT/US2011/066601, mailed Jul. 20, 2012, 10 pages.
International Search Report and Written Opinion of PCT/US2012/026786, mailed Jan. 2, 2013, 7 pages.
International Search Report and Written Opinion of PCT/US2012/031640 mailed Oct. 18, 2012, 10 pages.
International Search Report and Written Opinion of PCT/US2012/039629, mailed Dec. 26, 2012, 6 pages.
International Search Report and Written Opinion of PCT/US2012/059400, mailed Mar. 26, 2013, 11 pages.
International Search Report and Written Opinion of PCT/US2012/065086, mailed Mar. 25, 2013, 10 pages.
Kang, Hun, “A Study of the Nucleation and Formation of Multi-functional Nanostructures using GaN-Based Materials for Device Applications,” Georgia Institute of Technology, Doctor of Philosophy in the School of Electrical & Computer Engineering Dissertation, Dec. 2006, 187 pages.
Lee, Eun Gu, et al., “Effects of Wet Oxidation on the Electrical Properties of sub-10 nm thick silicon nitride films”, Thin Solid Films, Elsevier-Sequoia S.A. Lausanne, CH. vol. 205, No. 2, Dec. 1, 1991, pp. 246-251.
Loboda, M.J., et al., “Chemical influence of inert gas on the thin film stress in plasma-enhanced chemical vapor deposited a- SiN:H films”. Journal of Materials Research, vol. 11, No. 2, Feb. 1996, pp. 391-398.
Lucovsky, G. et al., “Deposition of silicon dioxide and silicon nitride by remote plasma enhanced chemical vapor deposition,” Journal of Vacuum Science & Technology, vol. 4, No. 3, May-Jun. (1986), pp. 681-688.
Norman, et al., “Reaction of Silylphosphine with Ammonia,” Inorganic Chemistry, Jun. 1979, pp. 1594-1597, vol. 18 No. 6.
Search Report mailed Sep. 4, 2012, European Application No. 07811964, now patent No. 2022087, 8 pages.
Sujishi, Sei et al., “Effect of Replacement of Carbon by Silicon in Trimethylamine on the Stabilities of the Trimethylboron Addition Compounds. Estimation of the Resonance Energy for Silicon-Nitrogen Partial Double Bond,” Amer. Chem. Soc., Sep. 20, 1954, pp. 4631-4636, vol. 76.
Tripp, et al., “The Anodic Oxidation of Silicon Nitride Films on Silicon,” Journal of the Electrochemical Society, 1970, pp. 157-159, 117(2).
Tsu, D. V. et al., “Silicon Nitride and Silicon Diimide Grown by Remote Plasma Enhanced Chemical Vapor Deposition”, Journal of Vacuum Science and Technology: Part A, AVS/AIP, Melville, NY, US, vol. 4, No. 3, Part 01, May 1, 1986, pp. 480-485.
Usenko, et al., “Silicon Nitride Surface Conversion into Oxide to Enable Hydrophilic Bonding,” ECS Meeting Abstracts, 2010, 1 page, Abstract #1716, 218th ECS Meeting.
Ward, L. G. L. et al., “The Preparation and Properties of Bis-Disilanyl Sulphide and Tris-Disilanylamine,” J. Inorg. Nucl. Chem., Dec. 1961, pp. 287-293, vol. 21, Pergamon Press Ltd., Northern Ireland.
Ward, Laird G. L., “Bromosilane, Iodosilane, and Trisilylamine,” Inorganic Syntheses, 1968, pp. 159-170, vol. 11.
Zuckerman, J.J., “Inorganic Reactions and Methods,” Formation of Bonds to N, P, As, Sb, Bi (Part 1), ISBN-0-89573-250-5, Jan. 1998, 5 pages, vol. 7, VCH Publishers, Inc., New York.
International Search Report and Written Opinion of PCT/US2012/053999, mailed Feb. 27, 2013, 12 pages.
Wang Li et al., “Properties of Hydrogenated Amorphous Silicon Caarbide Films Irradiated by Excimer Pulse Laser,” 1998, Abstract Only.
Ying-Yu et al., “Preparation of SiC Thin Film Using Organosilicon by Remote Plasma CVD Method,” 1999, Abstract Only.
Related Publications (1)
Number Date Country
20140073144 A1 Mar 2014 US
Provisional Applications (1)
Number Date Country
61699495 Sep 2012 US