Metal gate transistor

Information

  • Patent Grant
  • 9196546
  • Patent Number
    9,196,546
  • Date Filed
    Friday, September 13, 2013
    11 years ago
  • Date Issued
    Tuesday, November 24, 2015
    8 years ago
Abstract
A metal gate transistor is disclosed. The metal gate transistor includes a substrate, a metal gate on the substrate, and a source/drain region in the substrate. The metal gate further includes a high-k dielectric layer, a bottom barrier metal (BBM) layer on the high-k dielectric layer, a first work function layer on the BBM layer, a second work function layer between the BBM layer and the first work function layer, and a low resistance metal layer on the first work function layer. Preferably, the first work function layer includes a p-type work function layer and the second work function layer includes a n-type work function layer.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


The invention relates to a method for fabricating semiconductor device, and more particularly, to a method for fabricating high-k metal gate transistors.


2. Description of the Prior Art


With a trend towards scaling down size of the semiconductor device, conventional methods, which are used to achieve optimization, such as reducing thickness of the gate dielectric layer, for example the thickness of silicon dioxide layer, have faced problems such as leakage current due to tunneling effect. In order to keep progression to next generation, high-K materials are used to replace the conventional silicon oxide to be the gate dielectric layer because it decreases physical limit thickness effectively, reduces leakage current, and obtains equivalent capacitor in an identical equivalent oxide thickness (EOT).


On the other hand, the conventional polysilicon gate also has faced problems such as inferior performance due to boron penetration and unavoidable depletion effect which increases equivalent thickness of the gate dielectric layer, reduces gate capacitance, and worsens a driving force of the devices. Thus work function metals are developed to replace the conventional polysilicon gate to be the control electrode that competent to the high-K gate dielectric layer.


However, there is still a continuing need in the semiconductor processing art to develop semiconductor device renders superior performance and reliability after the conventional silicon dioxide or silicon oxynitride gate dielectric layer is replaced by high-K gate dielectric layer and conventional polysilicon gate is replaced by metal gate.


SUMMARY OF THE INVENTION

A method for fabricating metal gate transistor is disclosed. The method includes the steps of: providing a substrate having a NMOS region and a PMOS region; forming a dummy gate on each of the NMOS region and the PMOS region respectively; removing the dummy gates from each of the NMOS region and the PMOS region; forming a n-type work function layer on the NMOS region and the PMOS region; removing the n-type work function layer in the PMOS region; forming a p-type work function layer on the NMOS region and the PMOS region; and depositing a low resistance metal layer on the p-type work function layer of the NMOS region and the PMOS region.


According to another aspect of the present invention, a metal gate transistor is disclosed. The metal gate transistor includes a substrate, a metal gate on the substrate, and a source/drain region in the substrate adjacent to the metal gate. The metal gate includes a high-k dielectric layer, a bottom barrier metal (BBM) layer on the high-k dielectric layer, a first work function layer on the BBM layer, and a low resistance metal layer on the first work function metal layer.


These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.





BRIEF DESCRIPTION OF THE DRAWINGS


FIGS. 1-4 illustrate a method for fabricating a semiconductor device having metal gate according to a preferred embodiment of the present invention.





DETAILED DESCRIPTION

Referring to FIGS. 1-4, FIGS. 1-4 illustrate a method for fabricating a semiconductor device having metal gate according to a preferred embodiment of the present invention. In this embodiment, the semiconductor device is preferably a CMOS transistor, in which the method preferably utilizes a gate-last approach accompanying a high-k last fabrication. As shown in FIG. 1, a substrate 100, such as a silicon substrate or a silicon-on-insulator (SOI) substrate is provided. A first region and a second region are defined on the substrate 100, such as a NMOS region 102 and a PMOS region 104. A plurality of shallow trench isolations (STI) 106 is formed in the substrate 100 for separating the two transistor regions. It should be noted that even though a high-k last process is utilized in this embodiment, a high-k first process could also be employed according to the demand of the product.


A dummy gate is then formed on each of the NMOS region 102 and the PMOS region 104 respectively. The fabrication of the dummy gates could be accomplished by carrying out a series of deposition processes by forming an interfacial layer 114, a silicon layer 116, and a hard mask 118 on the substrate 100, and then patterning the hard mask 118, silicon layer, 116 and interfacial layer 114 to form a first gate structure and a second gate structure in the NMOS region 102 and PMOS region 104. Preferably, the interfacial layer 114 is composed of oxide or nitride, the silicon layer 116 using as a sacrificial layer is composed of undoped polysilicon, polysilicon having n+ dopants, or amorphous material, and the hard mask 118 is selected from a group consisting of SiO2, SiN, SiC, and SiON.


It should be noted that even though the dummy gates are fabricated on the substrate 100 directly, the present invention could also be applied to non-planar transistor technology such as a fin field effect transistor (FinFET) technology, and in such instance, fin-shaped structures will be first formed on the substrate and dummy gates will be formed on the fin-shaped structures thereafter. As the process for fabricating fin-shaped structures is well known to those skilled in the art, the details of which is not explained herein for sake of brevity.


Next, ion implantations are carried out in the NMOS region 102 and the PMOS region 104 to form a lightly doped drain 128 in the substrate 100 adjacent to two sides of the first gate structure 120 and the second gate structure 122, and a spacer 126 is formed on the sidewall of the gate structures 120 and 122, in which the spacer may include an offset spacer 164 and a main spacer 166. After the offset spacer 164 is formed on the sidewall of the first gate structure 120 and second gate structure 122, a selective epitaxial growth process could be carried out to form an epitaxial layer 132 in the substrate 100 adjacent to two sides of the offset spacer 164 of the PMOS region 104, in which the epitaxial layer 132 preferably includes silicon germanium.


After the epitaxial layer 132 is formed, a main spacer 166 is formed on the sidewalls of the offset spacer 164, and another ion implantation is carried out to form a source/drain region 130 in each of the NMOS region 102 and PMOS region 104. It should be noted that even though the ion implantation for the source/drain regions 130 is conducted after the formation of the epitaxial layer 132, the source/drain regions 130 could also be formed before the epitaxial layer 132, which is also within the scope of the present invention.


A salicide process could be performed thereafter by first forming a metal selected from a group consisting of cobalt, titanium, nickel, platinum, palladium, and molybdenum on the epitaxial layer 132 and the source/drain 130, and then using at least one rapid thermal anneal process to react the metal with epitaxial layer 132 and the source/drain 130 for forming a silicide layer 134 on the surface of the epitaxial layer 132 and the source/drain 130 of the NMOS region 102 and PMOS region 104. The un-reacted metal is removed thereafter.


Next, a contact etch stop layer (CESL) 136 is deposited on the first gate structures 120 and the second gate structures 122, and a process such as flowable chemical vapor deposition, FCVD) is carried out to form an interlayer dielectric (ILD) layer 138 on the CESL 136.


Next, as shown in FIG. 2, a planarizing process, such as a chemical mechanical polishing (CMP) process is performed to partially remove the ILD layer 138, CESL 136, and hard mask 118 so that the top of the dummy gates composed of silicon within the first gate structure 120 and the second gate structure 122 are exposed and substantially even with the surface of the ILD layer 138.


Next, a replacement metal gate (RMG) process is conducted to form a metal gate in each of the NMOS region 102 and PMOS region 122. According to a preferred embodiment of the present invention, the RMG process could be carried out by first performing a selective dry etching or wet etching process, such as using etchants including ammonium hydroxide (NH4OH) or tetramethylammonium hydroxide (TMAH) to remove the silicon layer 116 from the first gate structure 120 and the second gate structure 122 without etching the ILD layer 138 for forming a recess 140 in each transistor region 102 and 104.


Next, a high-k dielectric layer 142 is deposited via an atomic layer deposition (ALD) process or a metal-organic chemical vapor deposition (MOCVD) process into the recess 140 and on the surface of the ILD layer 138. According to a preferred embodiment of the present invention, the RMG process includes approaches such as gate first process, high-k first process from gate last process, high-k last process from gate last process, or silicon gate process. The present embodiment is preferably accomplished by employing the high-k last process from the gate last process, hence the high-k dielectric layer 142 preferably has a “U-shaped” cross section. The high-k dielectric layer 142 could be made of dielectric materials having a dielectric constant (k value) larger than 4, in which the material of the high-k dielectric layer 142 may be selected from hafnium oxide (HfO2), hafnium silicon oxide (HfSiO4), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al2O3), lanthanum oxide (La2O3), tantalum oxide (Ta2O5), yttrium oxide (Y2O3), zirconium oxide (ZrO2), strontium titanate oxide (SrTiO3), zirconium silicon oxide (ZrSiO4), hafnium zirconium oxide (HfZrO4), strontium bismuth tantalate (SrBi2Ta2O9, SBT), lead zirconate titanate (PbZrxTi1-xO3, PZT), barium strontium titanate (BaxSr1-xTiO3, BST) or combination thereof.


Next, as shown in FIG. 3, a bottom barrier metal (BBM) layer is deposited on the high-k dielectric layer 142. The BBM layer may be a single layer or a composite layer composed of two or more layers, and in this embodiment, the BBM layer is preferably composed of two separate layers 144 and 145, in which the layers 144 and 145 may be composed of same or different materials. According to a preferred embodiment of the present invention, the layers 144 and 145 may be composed of materials selected from a group consisting of TiN and TiSiN, in which one of the layers 144 or 145 being composed of TiN while the other layer being composed of TiSiN. It is to be noted that the utilization of TiSiN in the BBM layer could improve the barrier performance of the device substantially.


A n-type work function layer 146 is then deposited on the BBM layer 145 of the NMOS region 102 and PMOS region 104, and an etching process may be carried out to remove the n-type work function layer 146 in the PMOS region 104. Preferably, the n-type work function layer 146 has a work function ranging between 3.9 eV and 4.3 eV and may be selected from a group consisting of titanium aluminide (TiAl), zirconium aluminide (ZrAl), tungsten aluminide (WAl), tantalum aluminide (TaAl), and hafnium aluminide (HfAl), but not limited thereto.


Next, a p-type work function layer 148 is deposited. Preferably, the p-type work function layer 148 has a work function ranging between 4.8 eV and 5.2 eV and may be selected from a group consisting of titanium nitride (TiN), tantalum nitride (TaN), and tantalum carbide (TaC), but not limited thereto. As the surface of the BBM layer 145 in the PMOS region 104 is exposed at this moment, the p-type work function layer 148 is deposited on the surface of the n-type work function layer 146 of the NMOS region 102 and the BBM layer 145 of the PMOS region 104.


Next, as shown in FIG. 4, a low resistance metal layer 150 is deposited on the p-type work function layer 148 of the NMOS region 102 and PMOS region 104. Preferably, the low resistance metal layer 150 is selected from a group consisting of Al, Ti, Ta, W, Nb, Mo, Cu, TiN, TiC, TaN, Ti/W, TiAl, CoWP, and composite metal such as Ti/TiN, but not limited thereto. After the low resistance metal layer 150 is deposited, a planarizing process, such as a CMP process could be carried out to planarize the low resistance metal layer 150, the pt-type work function layer 148, and the n-type work function layer 146 for forming a metal gate 152 in each of the NMOS region 102 and PMOS region 104. This completes the fabrication of a metal gate transistor.


According to an embodiment of the present invention, a metal gate transistor structure is further disclosed from the aforementioned process, in which the metal gate transistor includes a substrate, a metal gate on the substrate, and a source/drain region in the substrate adjacent to the metal gate. The metal gate preferably includes a high-k dielectric layer, a bottom barrier metal (BBM) layer on the high-k dielectric layer, a first work function layer on the BBM layer; and a low resistance metal layer on the first work function metal layer. The BBM layer preferably includes TiSiN, the high-k dielectric layer is preferably U-shaped, and a spacer is formed around the metal gate. The metal gate transistor may be a PMOS transistor or a NMOS transistor, in which the PMOS transistor would preferably include a p-type work function layer while the NMOS transistor would preferably include both a p-type work function layer and a n-type work function layer. It should be noted that even though the aforementioned embodiment pertains to a high-k last process so that a structure with U-shaped high-k dielectric layer is fabricated, the present invention could also be applied to a high-k first process for producing a structure with I-shaped high-k dielectric layer, which is also within the scope of the present invention.


Overall, by forming a n-type work function layer on the NMOS region and PMOS region, removing the n-type work function layer in the PMOS region, forming a p-type work function layer on the NMOS and PMOS region, and then deposit a low resistance metal layer on the p-type work function layer thereafter, the present invention is able to provide a much simpler RMG scheme while improving the performance of the device. Specifically, in contrast to the conventional RMG scheme of depositing p-type work function layer before the formation of n-type work function layer, and then depositing an additional top barrier metal before the filling of low resistance metal layer thereby resulting issues such as critical gating for gap fill, extra p-type work function layer pullback process for gap fill window, and higher cost, the RMG scheme of the present invention could eliminate the needs of forming an extra top barrier metal to enlarge the gap fill window in the PMOS region and ultimately lower the cost of the fabrication process substantially.


Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims
  • 1. A metal gate transistor, comprising: a substrate;a metal gate on the substrate, wherein the metal gate comprises: a high-k dielectric layer;a bottom barrier metal (BBM) layer on the high-k dielectric layer, wherein the BBM layer comprises TiSiN;a first work function layer on the BBM layer;a second work function layer between the BBM layer and the first work function layer, wherein the first work function layer comprises a p-type work function layer and the second work function layer comprises a n-type work function layer; anda low resistance metal layer on the first work function metal layer;a source/drain region in the substrate adjacent to the metal gate.
  • 2. The metal gate transistor of claim 1, further comprising a spacer around the metal gate.
  • 3. The metal gate transistor of claim 1, wherein the metal gate transistor comprises a PMOS transistor.
  • 4. The metal gate transistor of claim 3, wherein the first work function layer comprises a p-type work function layer.
  • 5. The metal gate transistor of claim 1, wherein the metal gate transistor comprises a NMOS transistor.
  • 6. The metal gate transistor of claim 1, wherein the high-k dielectric layer is U-shaped.
US Referenced Citations (67)
Number Name Date Kind
6033963 Huang Mar 2000 A
6066533 Yu May 2000 A
6492217 Bai Dec 2002 B1
6552377 Yu Apr 2003 B1
6653698 Lee Nov 2003 B2
6696345 Chau Feb 2004 B2
6790719 Adetutu Sep 2004 B1
6794234 Polishchuk Sep 2004 B2
6858483 Doczy Feb 2005 B2
6902969 Adetutu Jun 2005 B2
6921711 Cabral, Jr. Jul 2005 B2
6953719 Doczy Oct 2005 B2
6967131 Saenger Nov 2005 B2
6972225 Doczy Dec 2005 B2
7029966 Amos Apr 2006 B2
7030430 Doczy Apr 2006 B2
7056794 Ku Jun 2006 B2
7064050 Cabral, Jr. Jun 2006 B2
7064066 Metz Jun 2006 B1
7074664 White Jul 2006 B1
7074680 Doczy Jul 2006 B2
7109079 Schaeffer, III Sep 2006 B2
7112851 Saenger Sep 2006 B2
7126199 Doczy Oct 2006 B2
7148548 Doczy Dec 2006 B2
7153734 Brask Dec 2006 B2
7157378 Brask Jan 2007 B2
7183184 Doczy Feb 2007 B2
7193893 Forbes Mar 2007 B2
7208366 Tsai Apr 2007 B2
7220635 Brask May 2007 B2
7316949 Doczy Jan 2008 B2
7317231 Metz Jan 2008 B2
7326610 Amos Feb 2008 B2
7355281 Brask Apr 2008 B2
7381619 Wang Jun 2008 B2
7390709 Doczy Jun 2008 B2
7488656 Cartier Feb 2009 B2
7785958 Doczy Aug 2010 B2
8404533 Ma et al. Mar 2013 B2
8772100 Huang et al. Jul 2014 B2
20020127888 Cho Sep 2002 A1
20050095763 Samavedam May 2005 A1
20050202659 Li Sep 2005 A1
20050275035 Mathew Dec 2005 A1
20060040482 Yang Feb 2006 A1
20060054943 Li Mar 2006 A1
20070037335 Chambers Feb 2007 A1
20070082445 Yang Apr 2007 A1
20070138559 Bohr Jun 2007 A1
20070148838 Doris Jun 2007 A1
20070210354 Nabatame Sep 2007 A1
20070262451 Rachmady Nov 2007 A1
20080076216 Pae Mar 2008 A1
20080318371 Lin Dec 2008 A1
20090039433 Yang Feb 2009 A1
20090057769 Wei Mar 2009 A1
20090057787 Matsuki Mar 2009 A1
20090166769 Metz Jul 2009 A1
20090186458 Yu Jul 2009 A1
20100052066 Yu Mar 2010 A1
20100052074 Lin Mar 2010 A1
20100065926 Yeh Mar 2010 A1
20100068877 Yeh Mar 2010 A1
20100081262 Lim Apr 2010 A1
20130049141 Cheng et al. Feb 2013 A1
20130056836 Yu et al. Mar 2013 A1
Related Publications (1)
Number Date Country
20150076623 A1 Mar 2015 US