Method for debugging reconfigurable architectures

Information

  • Patent Grant
  • 8407525
  • Patent Number
    8,407,525
  • Date Filed
    Monday, October 24, 2011
    13 years ago
  • Date Issued
    Tuesday, March 26, 2013
    11 years ago
Abstract
A method for debugging reconfigurable hardware is described. According to this method, all necessary debug information is written in each configuration cycle into a memory, which is then analyzed by the debugger.
Description
FIELD OF THE INVENTION

The present invention relates to methods for debugging programs on reconfigurable architectures.


BACKGROUND INFORMATION

Reconfigurable architecture refers to modules (VPUs) having a configurable function and/or interconnection, in particular integrated modules having a plurality of one-dimensionally or multidimensionally arranged arithmetic and/or logic and/or analog and/or memory and/or interconnecting modules (hereinafter referred to as PAEs) and/or communicative/peripheral, modules (IOs) that are interconnected directly or via one or more bus systems. PAEs are arranged in any configuration, combination, and hierarchy. This system is referred to below as a PAE array or PA.


The generic class of such modules includes in particular systolic arrays, neural networks, multiprocessor systems, processors having a plurality of arithmetic units and/or logic cells, interconnection and network modules such as crossbar switches, as well as conventional modules of the generic types FPGA, DPGA, XPUTER, etc. In this connection, reference is made in particular to the following applications of the same applicant: P 44 16 881.0-53, DE 197 81 412.3, DE 197 81 483.2, DE 196 54 846.2-53, DE 196 54 593.5-53, DE 197 04 044.6-53, DE 198 80 129.7, DE 198 61 088.2-53, DE 199 80 312.9, PCT/DE 00/01869, DE 100 36 627.9-33, DE 100 28 397.7, DE 101 10 530.4, DE 101 11 014.6, PCT/EP 00/10516, EP 01 102 674.7, DE 102 06 856.9, 60/317,876, DE 102 02 044.2, DE 101 29 237.6-53, DE 101 39 170.6. These are herewith incorporated to the full extent for disclosure purposes.


In addition, it should be pointed out that the methods to be described here may be used for groups of multiple modules. Nevertheless, reference is made below to a VPU and/or to “modules.” These modules and their operations are to be further improved.


SUMMARY

An object of the present invention is to provide something novel for commercial use.


A plurality of variants and hardware implementations (which make efficient debugging of VPU systems possible) are presented in the following.


1. Example Embodiments

In a preferred variant, debugging is performed either by using a microcontroller appropriately connected to a VPU or the module or by the load logic according to the patents P 44 16 881.0-53, DE 196 51 075.9, DE 196 54 846.2-53, DE 196 54 593.5-53, DE 197 57 200.6-33, DE 198 07 872.2, DE 101 39 170.6, DE 199 26 538.0, DE 100 28 397.7, the full content of which is herewith incorporated by this reference. As will be seen, however, other hardware variants may also be used.


The following basic methods may be used alternatively and/or jointly here:


1.1 Detecting a Debug Condition


1.1.1 Condition


The programmer defines, e.g., within the debugging tool, one or more conditions which start debugging (cf. breakpoint according to the related art). The occurrence of the conditions is detected at run time in the VPU and/or in any device exchanging data with the VPU. This preferably takes place due to the occurrence of certain data values with certain variables and/or certain trigger values with certain PAEs.


1.1.2 Precondition


In the optimum case, a certain condition according to the definition given above may already be defined by the programmer several cycles before the occurrence of the debugging condition. This precludes, from the beginning, certain latency problems which are discussed below.


Two fundamental types of debugging for VPUs are discussed below, the method preferred in each case depending on the choice of the compiler. Method A described below may be particularly suitable for compilers which generate code on the basis of instantiated modules of a hardware description language (or a similar language).


For compilers like those described in DE 101 39 170.6 and additional applications which generate complex instructions according to a method like VLIW, method B described below is particularly suitable. Generally, method B is the method preferred for operation of a VPU or a corresponding module as a processor or coprocessor.


It has been recognized that in particular the use of the two methods A and B together yields the best and most transparent debugging results. In particular, depending on the depth of the error to be debugged, it is possible to perform debugging first with the help of fast debugging method B, and then after adequate localization of the error, to analyze the details in depth by method A.


2. Method A


2.1 Basic Principle


After the occurrence of a (pre)condition, the VPU is stopped. The relevant debug information is then transferred from the PAEs to the debug program. The relevant debug information has previously been defined by the programmer within the debug program. After readout of all relevant debug information, the next cycle is executed and the relevant debug information is again read out. This is repeated until the programmer terminates the debugging operation. Instead of stopping the VPU, other methods are optionally also possible. For a given sequence of cycles, for example, data may be made available repeatedly for readout, if this is possible rapidly enough.


2.2 Support by the Hardware


2.2.1 Readout from the Registers


Essential for the functioning of the debugger is the possibility of reading back another externally connected (host) processor or a reserved area of array, the internal data registers, and/or status registers, and/or state registers, and optionally, depending on implementation, other relevant registers and/or signals from the PAEs and/or the network through a higher level unit (referred to below as a debug processor (DB)), i.e., a CT or a load logic, for example, and doing so only for selected registers and/or signals (referred to jointly below as debug information). Such a possibility is implementable, for example, with the connection created in PCT/DE 98/00334 between the load logic and the data bus of a PAE (PCT/DE 98/00334 0403, FIG. 4).


It should be pointed out explicitly that serial methods for readout of the registers may also be used. For example, JTAG may be selected, and the DB may also be connected via this method and optionally also as a separate external device, possibly a device that is commonly available on the market (e.g., from Hitex, Karlsruhe).


Since the debugger may have reading and/or writing access to all registers or at least a considerable number of them, it is optionally and preferably possible to omit a significant portion of the (serial) chaining of the registers for test purposes (scan chain) for the production tests of the chip. The scan chain is normally used to permit preloading of test data into all the registers within a chip during production tests and/or to permit the contents of the registers to be read back for test purposes. Preloading and/or reading back then typically take place through test systems (e.g., SZ Test Systems, Amerang) and/or according to the methods described in DE 197 57 200.6-33. The scan chain requires an additional not insignificant hardware complexity and surface area required for each register. This may now be eliminated at ,least for the registers that are debuggable, if, as proposed according to the present invention, production testing systems have access to the registers via suitable interfaces (e.g., parallel, serial, JTAG, etc.)


2.2.2 Stopping or Slowing Down the Clock Cycle


The clock may either be stopped or slowed down due to the occurrence of the condition and/or precondition to make available enough time for readout. This debug start is triggered in particular either directly by a PAE that has calculated the (pre)condition(s) or by a higher-level unit (e.g., load logic/CT, host processor) on the basis of any actions, e.g., due to the information that a pre(condition) has occurred on a PAE and/or due to an action within the debug processor and/or through any program and/or any external/peripheral source. Trigger mechanisms according to P 44 16 881.0-53, DE 196 51 075.9-53, DE 197 04 728.9, DE 198 07 872.2, DE 198 09 640.2, DE 100 28 397.7 are available for information. Alternatively, the clock pulse may be slowed down in general in debugging. If only array parts are to be debugged, a partial slowing down of the clock pulse may also be provided.


If the clock pulse is slowed down, all the relevant debug information must be read out of the PAEs by the debug processor within the slowed-down cycle of the processing clock pulse. It is therefore appropriate and preferable to slow down the clock pulse only partially, i.e., to reduce or stop the working clock pulse but to continue the clock pulse for the readout mechanism. In addition, it is reasonable and preferable to supply the registers in general with a clock pulse for data preservation.


After stopping the clock pulse, a single-step mode may be implemented, i.e., the debug processor stops the processing clock pulse until it has read out all the debug information. It restarts the processing clock pulse for one cycle and then stops it again until all relevant debug information has been read out.


The readout clock pulse and the clock pulse of the debug processor are preferably independent of the processing clock pulse of the PAEs, so that data processing is separated from debugging and in particular from readout of debug information.


In terms of the hardware, the clock pulse is stopped or slowed down by conventional methods, such as gated clocks and/or PLLs, and/or splitters or other methods. These means are preferably introduced at suitable locations (nodes) within the clock tree so that global clock control of the deeper branches is implementable. Slowing down the clock pulse of only selected array portions is described in the patent applications of the present applicant cited above.


It is particularly preferable for clock control information to be sent from a higher level unit, e.g., a load logic/CT, host processor) to all PAEs or to all PAEs that are to be debugged. This may be accomplished preferably via the configuration bus system. The clock control information here is typically transmitted by being broadcast, i.e., all PAEs receive the same information.


For example, the following clock control information may be implemented:

  • STOP: The working clock pulse is stopped.
  • SLOW: The working clock pulse is slowed down.
  • STEP: One processing step (single-step mode) is executed and then the working clock pulse is stopped again.
  • STEP (n): n processing steps are executed and the working clock pulse is stopped again.


GO: The working clock pulse continues normally.


The method for stopping and/or slowing down the clock pulse may also be used to reduce power consumption. If no computing power is needed at the moment, a “sleep mode” may be implemented by switching off the working clock pulse (STOP), for example, or through special instructions (SLEEP). If the full computing power is not needed, the clock pulse may be slowed down by using SLOW and/or temporarily suspended by using STEP(n). To this extent, this method may be used optionally and/or in addition to the methods described in German Patent Application No. DE 102 06 653.1 for reducing the power loss in particular.


One problem in broadcasting clock control information is the transmission time of the broadcast through the array of PAEs. At higher clock pulse frequencies, the transmission cannot take place within one working clock cycle. However, it is obligatory for all PAEs to respond to the clock control information at the same time. The clock control information is therefore preferably transmitted over a pipelined bus system similar to the CT bus system described in German Patent Application No. DE 100 28 397.7. In addition, a numerical value (LATVAL) is appended to the clock control information, this numerical value being equal to or greater than the maximum length of the pipeline of the bus system. The numerical value is decremented in cycles in each pipeline step (subtraction of 1). Each PAE receiving clock control information also decrements the numerical value with each clock pulse. This ensures that the numerical value in the pipelined bus system and the PAEs that have already received the clock control information is always exactly the same. If the numerical value reaches a value or 0, this ensures that all the PAEs have received the clock control information. The clock control information then goes into effect and the behavior of the clock pulse is modified accordingly.


Another latency time occurs due to the method described here. This latency may be additionally supported through the register pipeline which is described in greater detail below or, as is particularly preferred, by the definition of the (pre)condition by setting the (pre)condition forward to the extent that the latency time is already taken into account.


The latency time in the single-step mode is negligible because it plays a role only in the shutdown of the clock pulse (STOP). Since the STEP instruction always executes only one step, there is no corruption (delay) of the debug data due to the latency time during single-step operation.


2.2.3 Register Pipeline for Compensating for Latency


At higher operating frequencies, there may be a latency time between detecting the debug start and stopping or slowing down the clock pulse. This latency time is precisely predictable because the position of the delaying registers in the VPU is defined by the hardware and/or by the algorithm to be debugged and is therefore exactly calculable by the debugger.


However, due to the latency time, the information made available to the debug processor is shifted, so it is no longer possible to read out the correct' debug information. This problem is preferably solved by a suitable definition of the (pre)condition by the programmer. By inserting a multistage register pipeline which transmits the debug information further by one register in each clock pulse, the debug processor is optionally able to use as many cycles of debug information as the register pipeline is long. The length of the register pipeline is to be designed to correspond to the maximum expected latency. Because of the precise calculability of the latency time, the debug program is now able to read the timely correct and relevant debug information out of the register pipeline.


One problem which occurs in using register pipelines is that they are relatively long and are thus expensive, based on the silicon surface area required for implementation.


2.3 Visible Debug Information


In this method, debugging is generally performed after occurrence of the (pre)condition because only thereafter is the clock pulse slowed down or stopped and the debug information read out. Debug information prior to occurrence of the (pre)condition is therefore not visible at first.


However, it is also possible, although this also involves a loss of performance, to operate a VPU at a slowed clock pulse or in single-step mode directly from the start of an application. The relevant debug information is then read out by the debug processor from the start.


3. Method B


3.1 Basic Principle


Relevant debug information from the memory units, which includes the application data and states of a certain working step in accordance with P 44 16 881.0-53, DE 196 54 846.2-53, DE 199 26 538.0, DE 101 39 170.6 as well as their additional applications and DE 101 10 530.4, is transmitted to the debug program. These memory units, hereinafter also referred to as working memories, operate more or less as registers for storing data which has been calculated within a configuration cycle in the PA or parts of the PA, in the machine model according to P 44 16 881.0-53, DE 196 54 846.2-53, DE 101 39 170.6 and their additional applications DE 199 26 538.0 and DE 101 10 530.4. Reference is made in particular to German Patent Application No. DE 101 39 170.6 and its additional applications which describe in detail the use of the memory units as registers (REG) for implementation of a processor model. The full content of DE 101 39 170.6 and its additional applications are herewith included for disclosure purposes. A memory unit here includes any arrangement and hierarchy of independent and dependent memories. It is possible to execute simultaneously a plurality of different algorithms on the PA (processing array), which then use different memories.


It is essential for the use of this method that data and/or algorithmically relevant states are stored in the memory units assigned to the PAEs, one memory unit in each case being of such size that all the relevant data and/or states of a cycle may be stored there. The length of a cycle may be determined by the size of the memory unit, which it preferably actually is (see DE 196 54 846.2-53). In other words, the cycle length is adapted to the hardware.


Different data and/or states are stored in the memory units in such a way that the latter may be assigned unambiguously to the algorithm. The debugger is therefore able to unambiguously identify the relevant data and/or states (debug information).


The relevant debug information may be determined by the programmer within the debug program—in particular also in advance. This debug information is read out of the memory units. Different methods are available for this, and a few possibilities are discussed in greater detail below. After readout of all relevant debug information, the next configuration cycle is executed and the relevant debug information is again read out. This is repeated until the programmer/debugger aborts the debugging procedure.


In other words, the relevant data and/or status information is not transmitted to the debugger in cycles but instead according to the configuration. It is read out of the memory units that are comparable to the registers of the CPU.


3.2 Support by the Hardware


For the mode of operation of the debugger, it is essential for the CT or another externally connected processor (referred to below as the debug processor (DB)) to be able to read the internal working memory (memories) of the VPU, for example. Such a possibility is provided, for example, by connecting the CT to the working memory for preloading and reading the data and/or by the method described in DE 199 26 538.0 for writing the internal memory to external memories. In one possible embodiment, the working memory may be accessed by various methods of the related art (e.g., shared memory, bank switching) by the debug processor, so that data exchange with the DB may take place largely independently of any other data processing in the VPU.


In one possible embodiment, the clock pulse of the VPU may optionally be either retarded or stopped for readout of the memory, e.g., according to method A by one or more of the measures described above and/or it may optionally be operated in a single-step mode. Depending on the implementation of the working memory, e.g., in the bank switching method, it is possible to eliminate a separate intervention involving the clock pulse. The clock pulse is typically stopped or slowed down according to method B and the working memories are read out and/or copied and/or switched only when a data processing or configuration cycle is ended.


In other words, an important advantage of method B is that it does not require any particular support by the hardware.


In one possible embodiment, a DB need only have access to the working memory. In an example embodiment which is particularly preferred, the working memory is accessed through a suitable configuration of the VPU, which therefore reads out the working memories automatically and without modification and transmits this information to a DB.


3.3 Access to Debug Information


Patents and patent applications P 44 16 881.0-53, DE 196 54 846.2-53, DE 101 39 170.69, DE 199 26 538.0 describe data processing methods in which a set of operations is mapped cyclically onto a reconfigurable data processing module. In each cycle, a plurality of data originating from a peripheral source and/or an internal/external working memory and written to a peripheral source and/or an internal/external working memory is calculated. Different working memories and/or in particular a plurality of independent working memories may be used at the same time. For example, in this data processing method, the working memories or some of the working memories function as register sets.


According to DE 101 39 170.6 and DE 199 26 538.0, all data and states relevant for further data processing are stored in the working memory and/or read out of same. In a preferred method, states irrelevant for further data processing are not stored.


The differentiation between relevant and irrelevant states is to be illustrated using the following example, although for disclosure purposes, reference is made in particular to the discussion in DE 101 39 170.6.


The state information of a comparison is essential for further processing of data, for example, because it determines the functions to be executed.


A sequential divider is formed, for example, by mapping a division instruction onto hardware that supports only sequential division. This results in a state which characterizes the computation step within division. This state is irrelevant because the algorithm needs only the result (i.e., the division performed). Therefore, in this case, only the results and the time information (i.e., the availability) are needed.


The time information is available from the RDY/ACK handshake in the VPU technology according to P 44 16 881.0-53, DE 196 51 075.9-53 and DE 199 26 538.0, for example. However, it should be pointed out here in particular that the handshake itself likewise does not constitute a relevant state because it merely signals the validity of the data, so that the remaining relevant information is in turn reduced to the existence of valid data.


DE 101 39 170.6 shows a differentiation between locally relevant states and globally relevant states:


Local: The state is relevant only within a single closed configuration. Therefore, this state need not necessarily be stored.


Global: The state information is needed for a plurality of configurations. This state must be stored.


It is possible that the programmer might want to debug a locally relevant state that is not stored in the memories. In this case, the application may be modified to create a debug configuration (equivalent to the debug code of processors), having a modification of the “normal” code of the application so that this state is additionally written into the memory unit and is therefore made available to the debugger. This results in a deviation between the debug code and the actual code which may result in a difference in the performance of the codes.


In a particularly preferred embodiment, no debugging configuration is used. Instead, the configuration to be debugged is terminated so that the data additionally required for debugging purposes outlasts the termination, i.e., it remains valid in the corresponding memory locations (REGs) (e.g., registers, counters, memories).


If the configuration to be debugged is terminated in such a way that the data additionally required for debugging purposes outlasts the termination, it is possible to perform debugging easily by not loading the next configuration required in a normal program sequence, but loading instead a configuration through which the data required for debugging purposes is transmitted to the debugging unit, i.e., the debugging means. It should be pointed out that in such debugging, the data required for debugging purposes may always be stored even later in the program run, thereby ensuring that the program which has been executed later has been subject to a debugging process in exactly the same way as required. Normal program execution may continue after readout of the debug information by a dedicated debugging configuration.


A configuration is loaded which connects the REGs in a suitable manner and in a defined order to one or more global memories to which the DB has access (e.g., working memories).


It is thus proposed that a configuration is loaded which connects the REGs in a suitable manner and in a defined order to one or more global memories to which the DB has access (e.g., working memories).


The configuration may use address generators, for example, to which the global memory (memories) has/have access. The configuration may use address generators, for example, to access REGs designed as memories. According to the configured connection between the REGs, the contents of the REGs are written in a defined order into the global memory, the particular addresses being predetermined by address generators. The address generator generates the addresses for the global memory (memories) in such a way that the described memory areas (DEBUGINFO) may be unambiguously assigned to the remote configuration to be debugged.


This method corresponds to the context switch described in DE 102 06 653.1 and DE 101 39 170.6, the full content of which is incorporated here for disclosure purposes.


The DB may then access data within a memory area (DEBUGINFO) which is accessible to it. If debugging is to be performed by a single-step method, a context switch may be performed after each single step of a configuration to be debugged, so that all data is preserved and the information to be debugged is written out of the REGs and into a working memory. While preserving the data, the configuration to be debugged is then reconfigured again and prepared for another single step. This is done for each single step to be debugged of the configuration to be debugged. Reference is made here to the possibility of debugging using the principles known as “wave reconfiguration.”


3.4 Visible Debug Information


Debugging before the (pre)condition may be performed easily and without any great loss of performance because the required debug information is available in working memories. The debug information may be secured in a simple manner by transferring the working memories to other memory areas to which the DB preferably has direct access. An even faster method is to switch the working memories by a bank switching method (according to the related art) between the individual configurations so that the debug information is always in a new bank. This switching may take place in a very time-optimizing manner, in the optimum case even without any effect on the processing performance.


It has already been disclosed that in a VPU, data may be transferred by blocks into a memory area, which may also be located outside of the actual PA and/or may have a dual-ported RAM or the like, so that it is readily possible to externally access the information thus written.


4. Mode of Operation of the Debugger


The debugger program itself may run on a DB outside of the PA. As an alternative, a VPU itself may form the DB according to the methods used with processors. To do so, a task switch or context switch (SWITCH) may be performed according to the description given in PACT11 (U.S. Published Application No. 2003-0056202). The debug information of the program to be debugged is saved together with the relevant data in a SWITCH and the debugger program, which analyzes the information and/or processes it interactively with the programmer, is loaded. Another SWITCH is then performed (in which the relevant information of the debugger is saved) and the program to be debugged is continued. It should also be mentioned that a partial area of the processor may be provided as a debugger.


The debug information is read by the debugger according to method A and/or B and is saved in a memory and/or memory area that is separate from the data processing and to which the DB preferably has direct access. The breakpoints and (pre) conditions are defined by the debugger program. The debugger program may also assume control of execution of the application, in particular the start of execution and the end of execution.


The debugger makes a suitable working environment available to the programmer, optionally with a graphical interface. In a particularly preferred embodiment, the debugger is integrated into a complex development environment with which it exchanges data and/or control information. In particular, the debugger may save the data read out of the working memories on a data medium (hard drive, CD-ROM) for any further processing and/or may run it within a network (such as Ethernet).


The debugger according to the present invention may also communicate with other tools and in particular other debuggers within a development environment described in DE 101 29 237.6-53. In a preferred embodiment, the control and/or definition of the debug parameters may be taken over from another debugger. Likewise, the debugger may make the debug information generated by it available to another debugger and/or may receive debug information from another debugger.


In particular, the determination of the occurrence of breakpoints and/or a (pre)condition may be implemented by another debugger and/or the units debugged by this other debugger. The debugger according to the present invention and the VPU then respond accordingly.


The other debugger may be in particular the debugger of another processor (CT or ARC in Chameleon, Pentium, AMD, etc.) connected to a VPU.


In particular, the other debugger may run on a processor connected or assigned to the VPU and/or it may be the processor assigned to the DB, e.g., a CT or ARC in Chameleon. In a particularly preferred embodiment, the particular processor may be a host processor such as that described in U.S. Patent Application Ser. No. 60/317,876 and/or DE 102 06 856.9, for example.


5. Evaluation of Methods


Method A is considerably more time- and resource-intensive than method B, which requires hardly any additional hardware, and also omits the time-consuming readout of debug information from the start of the application. Method B is therefore fundamentally preferable. Method B is preferred for compilers described in DE 101 39 170.6 and its related applications.


It has been recognized that in particular using methods A and B together yields the best and most transparent debugging results. In particular, depending on the depth of the error to be debugged, debugging may be performed first with the help of the fast debugging method B and then after adequate localization of the error, debugging may be performed by method A, which analyzes the details in depth.


6. Mixed-Mode Debugger


When using method B, which is particularly preferred, the problem may also occur that the visible information in the memories is insufficient.


Typically, detailed debugging may proceed as follows:

  • a) The visible debug information (PREINFO) before configuring a breakpoint-containing configuration is saved. If an error occurs in the breakpoint, a search is then conducted for visible debug information (POSTINFO). Based on the PREINFO information, a software simulator is started, simulating the configuration(s) to be debugged. The simulator may determine each value within the PAEs and the bus systems and output it (optionally also graphically and/or as text), thus providing a detailed insight into the sequence of the algorithm at the point in time when the error occurred. It is possible in particular to compare the simulated values in each case with the values from POSTINFO in order to rapidly recognize any differences.
  • b) The visible debug information before a breakpoint is saved. When a breakpoint occurs, a software visualizer is started based on this information. The module to be debugged is then operated in a single-step method to permit readout of all relevant data according to method A. This data may then be output either directly (including graphically and/or as text, if necessary) and/or relayed to a simulator whose simulation is then based on the more detailed data and may next be output in the known ways.


6.1 Advantages of a Mixed-Mode Debugger


The mixed-mode debugger permits a detailed analysis of the sequences within a module. Due to the possibility according to method B of working at full speed up to a set breakpoint and then stopping, if necessary, slowing down and/or switching to a single-step mode, if necessary, the debugging becomes time-efficient, so it becomes possible to test large volumes of data and/or complex algorithms. The preferred use of a simulator after occurrence of the breakpoint on the basis of the current data and states permits detailed insight into the hardware. If the time required for the simulation is too long and/or a 100% correspondence of the simulator to the hardware is questionable, then reading back the data in the single-step mode after occurrence of a breakpoint according to method A or according to the context switching method according to DE 102 06 653.1 and DE 101 39 170.6 permits 100% correct debugging of the algorithm and/or the hardware itself.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 illustrates a representation of a finite automaton by a reconfigurable architecture.



FIG. 2 illustrates a finite automaton mapped on a reconfigurable architecture



FIG. 3 shows a possible schematic structure of a debugging.



FIG. 4
a shows the structure of a particularly preferred VPU.



FIG. 4
b shows the detail of an exemplary CPU system.



FIG. 5
a shows an exemplary hardware design that may be used for debugging reconfigurable processors.



FIG. 5
b shows as an example the expansion according to the present invention.





DETAILED DESCRIPTION OF EXAMPLE EMBODIMENTS


FIGS. 1 and 2 generally correspond to German Patent Application No. DE 101 39 170.6. The different approaches of methods A and B are indicated in the figures (A, B).



FIG. 1 shows a representation of the finite automaton by a reconfigurable architecture described in P 44 16 881.0-53 and DE 196 54 846.2-53 (DE 196 54 846.2-53, FIGS. 12 through 15). The combinatory network of DE 196 54 846 is replaced by a system of PAEs 0107 (0101b). Register (0102) is embodied by a memory (0102b) capable of storing a plurality of cycles. Feedback according to 0105 takes place through 0105b. Inputs (0103b and 0104b) are equivalent to 0103 and 0104, respectively. Direct access to 0102b may be implemented through a bus via array 0101b, if necessary. Output 0106b is in turn equivalent to 0106.



FIG. 2 shows an illustration of a finite automaton mapped on a reconfigurable architecture, 0201(x) representing the combinatory network (which may be embodied as a PAE according to FIG. 1). There are one or more memories for operands (0202) and one or more memories for results (0203). Additional data inputs/outputs (0103b, 0104b, 0106b) are not shown for the sake of simplicity. An address generator (0204, 0205) is assigned to each memory.


Operand and result memories (0202, 0203) are linked together physically or virtually so that the results of a function may be used as the operands of another memory and/or results and operands of a function may also be used as the operands of another memory. Such a linkage may be established through bus systems, for example, or via (re)configuration whereby the function and interconnection of the memories with 0201 are reconfigured.



FIG. 3 shows a possible schematic structure of the debugging according to method B. Reference should be made in particular to FIGS. 19, 20 and 21 of German Patent Application No. DE 199 26 538.0 in which the basis of the memories is described. The full content of DE 199 26 538.0 is herewith incorporated for disclosure purposes.



0101
b and 0102b are shown as already described. In addition, an external memory unit (0302) is also shown which may be connected (0307) to 0102b, as in DE 199 26 538.0. Both 0102b and 0302 may be external or internal memory units. Likewise, one memory unit should be defined as at least one register, a set of registers or a memory (RAM, flash, etc.) or a bulk memory (hard drive, tape, etc.).


Debugging unit 0301 may set breakpoints within 0101b (0303) on the basis of which the actual debugging operation is triggered. On reaching a breakpoint, information (0304) is sent to 0301, starting the debugging operation. At the same time, all procedures for debugging (e.g., stopping and/or slowing down the cycle) within 0101b are triggered. As an alternative, information may also be generated through 0301 and sent to 0101b. Via 0305 and/or 0306, it is possible for 0301 to access the data or states from memory 0102b and/or memory 0302. The access may take place, for example,

  • 1. via memory linkage (block move, i.e., copying the memory into another area controlled by 0301),
  • 2. via a line (serial or parallel line over which one or more memory areas are transmitted, e.g, JTAG),
  • 3. via bus linkages, regardless of the type (the memories are arbitrated as in a DMA method and are processed by 0301).


A figure from DE 199 26 538.0 has been selected as an example. It should be pointed out explicitly that generally any memory and any memory linkage (stack, random access, FIFO, etc.) may be processed accordingly.



FIGS. 4
a and 4b show other possible embodiments; these have been described in German Patent Application No. DE 102 06 856.9, the full content of which is herewith included for disclosure purposes.



FIG. 4
a shows the structure of a particularly preferred VPU. Preferably hierarchical configuration managers (CTs) (0401) control and manage a system of reconfigurable elements (PACs) (0402). The CTs are assigned a local memory for configurations (0403). The memory also has an interface (0404) to a global memory which provides the configuration data. The configuration sequences are controllable via an interface (0405). An interface of reconfigurable elements (0402) for sequence control and event management (0406) is provided; likewise there is an interface for data exchange (0407). For example, one CT may function as a DB.



FIG. 4
b shows a detail of an exemplary CPU system, e.g., a DSP of the C6000 type from Texas Instruments (0451). This shows program memory (0452), data memory (0453), any peripheral (0454) and EMIF (0455). A VPU is integrated as coprocessor (0458) via a memory bus (0456) and a peripheral bus (0457). A DMA controller (EDMA) (0459) may perform any DMA transfers, e.g., between memory (0453) and VPU (0458) or memory (0453) and periphery (0454). In this example, 0451 may function as a DB and in particular the debugger according to the present invention may also be connected to and/or integrated into its debugger.



FIG. 5
a shows an exemplary hardware design that may be used for debugging reconfigurable processors. A pipelined configuration bus 0501 like that described in DE 100 28 397.7 is used for this purpose. The pipeline is composed of a plurality of register stages (0502) in the horizontal and/or vertical direction to achieve higher clock pulse frequencies. The pipelined configuration bus is connected to configuring elements (PAEs) (0503) to supply them with configuration data.



FIG. 5
b shows as an example the expansion according to the present invention. Each register stage (0502) decrements the numerical value (LATVAL) by one (indicated by −1) to compensate for the latency time. Likewise, each PAE (0503), which has already received clock control information, decrements it by one per cycle (indicated by −1/T). It is then possible to have not only write access but also read access to the PAEs and in particular to their internal registers, e.g., via a special control line (RD) to read out debug data. In this example, data to be read and written passes through the bus system through the arrays of PAEs from left to right and in the reverse direction in the bottom row. The configuration bus is also connected back (0504) like a pipeline via register stages (0505). In this example, a higher-level unit (CR/load logic, host processor) (0506) may also have read and write access to the bus like a dedicated test interface (0507). The test interface may have its own test controller and in particular may be compatible with one or more test interfaces available on the market (e.g., JTAG, Tektronix, Rhode & Schwarz, etc.). The choice of the bus controlling unit is made via a multiplexer/demultiplexer unit (0508). A circuit for back-calculating the source address (0509) of debug data arriving via 0504 may be provided in 0509 (shown in parentheses and in italics) or upstream from units 0506 and 0507. The address calculations within the system shown here are performed as follows: first, the address is applied to bus 0501 through 0506 or 0507. Like the processing of numerical values (LATVAL) for the latency computation, the address is decremented in each register stage (0502 and 0505). As soon as the address is equal to 0, the PAE after the register stage is selected. In the following register stage the address becomes negative so that no other PAEs are activated. If data is read out of a PAE, it is transmitted again together with the address. The address is decremented further in each register stage. A reverse calculation in 0509 of the addresses arriving at 0506 and/or 0507 together with the debugging data is now possible via a simple addition, by adding the number of decrementing register stages to the incoming address value. It should be pointed out that register stages 0502 in FIG. 5b are designed to be easily distinguishable from register stages 0502 in FIG. 5a. Namely, in FIG. 5b, they additionally have a circuit (e.g., multiplexer) for selecting the data to be relayed, either forwarding the data of bus 0501 or forwarding the output of the particular PAE (0503) and thus the debugging data. The arrival of the address value equal to 0 may be used to trigger the circuit.


It is pointed out here again that dedicated test interface (0507) conforms to industry standards. It may be used for tests during the software debugging procedure and/or for testing during the assembly of hardware components and systems (e.g., assembling circuits on a circuit board) and/or for function tests of the semiconductor module (chip) as part of semiconductor fabrication. In particular, the usual scan chain may be omitted here for testing the register during the function test of the semiconductor or it may at least be minimized because then only the registers that are not triggerable by the bus system (0501) need pass through the scan chain.


Likewise, it is pointed out in particular that the method explained in conjunction with FIG. 5 is by no means limited to use with configuration buses. Ordinary data bus systems may also be used at the different test times and debugging times and types of test and debugging listed previously. In particular, reference should be made in this connection to the data bus system in DE 197 04 742.4. DE 197 04 742.4 is herewith incorporated fully for disclosure purposes. The methods described in conjunction with FIG. 5, easily understandable for an engineer having ordinary technical expertise, may also be applied to DE 197 04 742.4.


Mixed operation of different bus systems such as configuration bus systems, data bus systems according to DE 197 04 742.4 and ordinary data bus systems is also fundamentally possible.


Therefore a plurality of test interfaces may be provided or (and this option is technically preferable) multiplexer/demultiplexer stage (0508) may be designed for a plurality of bus systems (n 0501, n 0504).


In conclusion, it should also be mentioned in particular that by connecting back the bus system according to FIG. 5b, the configuration data which is also to be written into the PAEs is also returned. Use of the configuration buffer memory FIFOs according to DE 100 28 397.7 (FIGS. 8 and 9 (0805, 0903)) may be omitted with the help of the address back-calculation (0509) and returned status line REJ, which indicates rejection of the configuration according to DE 100 28 397.7, DE 198 07 872.2, DE 196 54 593.5-53 because their functionality is now mapped completely via the bus system described here.


8. Definition of Terms

  • Locally relevant state State that is relevant only within a certain configuration.
  • Globally relevant state State that is relevant in a plurality of configurations and must be exchanged among the configurations.
  • Relevant state State that is needed within an algorithm for correct execution thereof and is thus described and used by the algorithm.
  • Irrelevant state State that is of no significance for the actual algorithm and is also not described in the algorithm but is needed by the hardware performing the execution as a function of the implementation.

Claims
  • 1. A method for debugging a runtime configuration of a first processing arrangement, the first processing arrangement including at least one register file and a plurality of arithmetic-logic-units (ALUs) that operate on complex instructions, which instructions define a simultaneous operation of the plurality of ALUs, the method comprising: modifying the configuration to cause state information to be stored in a memory; andproviding the state information from the memory to a debugger for debugging the configuration based on the state information;wherein: the configuration is of at least one of a function and an interconnection of at least one of the ALUs and one or more of the at least one register file; andthe first processing arrangement is adapted to, while configured with the configuration, cyclically execute a plurality of operations.
  • 2. The method according to claim 1, wherein the state information is transmitted responsive to at least one of a condition and a breakpoint being met.
  • 3. The method according to claim 1, wherein the debugger is executed with a second processing arrangement separate from the first processing arrangement.
  • 4. The method according to claim 3, wherein a simulator receives the state information.
  • 5. The method according to claim 4, wherein, based on the state information, the simulator outputs internal processor details that are not otherwise visible.
  • 6. The method according to claim 1, wherein a simulator receives the state information.
  • 7. The method according to claim 6, wherein, based on the state information, the simulator outputs internal processor details that are not otherwise visible.
Priority Claims (10)
Number Date Country Kind
101 42 894 Sep 2001 DE national
101 42 904 Sep 2001 DE national
101 44 733 Sep 2001 DE national
101 45 795 Sep 2001 DE national
101 54 259 Nov 2001 DE national
102 02 044 Jan 2002 DE national
102 02 175 Jan 2002 DE national
102 06 856 Feb 2002 DE national
102 07 226 Feb 2002 DE national
102 40 022 Aug 2002 DE national
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. patent application Ser. No. 12/354,590, filed on Jan. 15, 2009 now U.S. Pat. No. 8,069,373, which is a continuation of and claims priority to U.S. patent application Ser. No. 10/487,687, filed on Aug. 25, 2004, which issued as U.S. Pat. No. 7,480,825 and which was the National Stage of International Application Serial No. PCT/DE02/03278, filed on Sep. 3, 2002, the entire contents of each of which are expressly incorporated herein by reference thereto.

US Referenced Citations (642)
Number Name Date Kind
3564506 Bee et al. Feb 1971 A
3681578 Stevens Aug 1972 A
3753008 Guarnaschelli Aug 1973 A
3754211 Rocher et al. Aug 1973 A
3855577 Vandierendonck Dec 1974 A
4151611 Sugawara et al. Apr 1979 A
4233667 Devine et al. Nov 1980 A
4414547 Knapp et al. Nov 1983 A
4498134 Hansen et al. Feb 1985 A
4498172 Bhavsar Feb 1985 A
4566102 Hefner Jan 1986 A
4571736 Agrawal et al. Feb 1986 A
4590583 Miller May 1986 A
4591979 Iwashita May 1986 A
4594682 Drimak Jun 1986 A
4623997 Tulpule Nov 1986 A
4646300 Goodman et al. Feb 1987 A
4663706 Allen et al. May 1987 A
4667190 Fant et al. May 1987 A
4682284 Schrofer Jul 1987 A
4686386 Tadao Aug 1987 A
4706216 Carter Nov 1987 A
4720778 Hall et al. Jan 1988 A
4720780 Dolecek Jan 1988 A
4739474 Holsztynski Apr 1988 A
4748580 Ashton et al. May 1988 A
4760525 Webb Jul 1988 A
4761755 Ardini et al. Aug 1988 A
4791603 Henry Dec 1988 A
4811214 Nosenchuck et al. Mar 1989 A
4852043 Guest Jul 1989 A
4852048 Morton Jul 1989 A
4860201 Stolfo et al. Aug 1989 A
4870302 Freeman Sep 1989 A
4873666 Lefebvre et al. Oct 1989 A
4882687 Gordon Nov 1989 A
4884231 Mor et al. Nov 1989 A
4891810 de Corlieu et al. Jan 1990 A
4901268 Judd Feb 1990 A
4910665 Mattheyses et al. Mar 1990 A
4918440 Furtek et al. Apr 1990 A
4939641 Schwartz et al. Jul 1990 A
4959781 Rubinstein et al. Sep 1990 A
4967340 Dawes Oct 1990 A
4972314 Getzinger et al. Nov 1990 A
4992933 Taylor Feb 1991 A
5010401 Murakami et al. Apr 1991 A
5014193 Garner et al. May 1991 A
5015884 Agrawal et al. May 1991 A
5021947 Campbell et al. Jun 1991 A
5023775 Poret Jun 1991 A
5031179 Yoshida et al. Jul 1991 A
5034914 Osterlund Jul 1991 A
5036473 Butts et al. Jul 1991 A
5036493 Nielsen Jul 1991 A
5041924 Blackborow et al. Aug 1991 A
5043978 Nagler et al. Aug 1991 A
5047924 Fujioka et al. Sep 1991 A
5055997 Sluijter et al. Oct 1991 A
5065308 Evans Nov 1991 A
5070475 Normoyle et al. Dec 1991 A
5072178 Matsumoto Dec 1991 A
5076482 Kozyrski et al. Dec 1991 A
5081375 Pickett et al. Jan 1992 A
5081575 Hiller et al. Jan 1992 A
5099447 Myszewski Mar 1992 A
5103311 Sluijter et al. Apr 1992 A
5109503 Cruickshank et al. Apr 1992 A
5113498 Evan et al. May 1992 A
5115510 Okamoto et al. May 1992 A
5119290 Loo et al. Jun 1992 A
5123109 Hillis Jun 1992 A
5128559 Steele Jul 1992 A
5142469 Weisenborn Aug 1992 A
5144166 Camarota et al. Sep 1992 A
5193202 Jackson et al. Mar 1993 A
5203005 Horst Apr 1993 A
5204935 Mihara et al. Apr 1993 A
5208491 Ebeling et al. May 1993 A
5212716 Ferraiolo et al. May 1993 A
5212777 Gove et al. May 1993 A
5218302 Loewe et al. Jun 1993 A
5226122 Thayer et al. Jul 1993 A
RE34363 Freeman Aug 1993 E
5233539 Agrawal et al. Aug 1993 A
5237686 Asano et al. Aug 1993 A
5243238 Kean Sep 1993 A
5245616 Olson Sep 1993 A
5247689 Ewert Sep 1993 A
RE34444 Kaplinsky Nov 1993 E
5274593 Proebsting Dec 1993 A
5276836 Fukumaru et al. Jan 1994 A
5287472 Horst Feb 1994 A
5287511 Robinson et al. Feb 1994 A
5287532 Hunt Feb 1994 A
5301284 Estes et al. Apr 1994 A
5301344 Kolchinsky Apr 1994 A
5303172 Magar et al. Apr 1994 A
5311079 Ditlow et al. May 1994 A
5327125 Iwase et al. Jul 1994 A
5336950 Popli et al. Aug 1994 A
5343406 Freeman et al. Aug 1994 A
5347639 Rechtschaffen et al. Sep 1994 A
5349193 Mott et al. Sep 1994 A
5353432 Richek et al. Oct 1994 A
5355508 Kan Oct 1994 A
5361373 Gilson Nov 1994 A
5365125 Goetting et al. Nov 1994 A
5379444 Mumme Jan 1995 A
5386154 Goetting et al. Jan 1995 A
5386518 Reagle et al. Jan 1995 A
5392437 Matter et al. Feb 1995 A
5408643 Katayose Apr 1995 A
5410723 Schmidt et al. Apr 1995 A
5412795 Larson May 1995 A
5418952 Morley et al. May 1995 A
5418953 Hunt et al. May 1995 A
5421019 Holsztynski et al. May 1995 A
5422823 Agrawal et al. Jun 1995 A
5425036 Liu et al. Jun 1995 A
5426378 Ong Jun 1995 A
5428526 Flood et al. Jun 1995 A
5430687 Hung et al. Jul 1995 A
5435000 Boothroyd et al. Jul 1995 A
5440245 Galbraith et al. Aug 1995 A
5440538 Olsen et al. Aug 1995 A
5442790 Nosenchuck Aug 1995 A
5444394 Watson et al. Aug 1995 A
5448186 Kawata Sep 1995 A
5450022 New Sep 1995 A
5455525 Ho et al. Oct 1995 A
5457644 McCollum Oct 1995 A
5465375 Thepaut et al. Nov 1995 A
5469003 Kean Nov 1995 A
5473266 Ahanin et al. Dec 1995 A
5473267 Stansfield Dec 1995 A
5475583 Bock et al. Dec 1995 A
5475803 Stearns et al. Dec 1995 A
5475856 Kogge Dec 1995 A
5477525 Okabe Dec 1995 A
5483620 Pechanek et al. Jan 1996 A
5485103 Pedersen et al. Jan 1996 A
5485104 Agrawal et al. Jan 1996 A
5489857 Agrawal et al. Feb 1996 A
5491353 Kean Feb 1996 A
5493239 Zlotnick Feb 1996 A
5493663 Parikh Feb 1996 A
5497498 Taylor Mar 1996 A
5502838 Kikinis Mar 1996 A
5504439 Tavana Apr 1996 A
5506998 Kato et al. Apr 1996 A
5510730 El Gamal et al. Apr 1996 A
5511173 Yamaura et al. Apr 1996 A
5513366 Agarwal et al. Apr 1996 A
5521837 Frankle et al. May 1996 A
5522083 Gove et al. May 1996 A
5525971 Flynn Jun 1996 A
5530873 Takano Jun 1996 A
5530946 Bouvier et al. Jun 1996 A
5532693 Winters et al. Jul 1996 A
5532957 Malhi Jul 1996 A
5535406 Kolchinsky Jul 1996 A
5537057 Leong et al. Jul 1996 A
5537580 Giomi et al. Jul 1996 A
5537601 Kimura et al. Jul 1996 A
5541530 Cliff et al. Jul 1996 A
5544336 Kato et al. Aug 1996 A
5548773 Kemeny et al. Aug 1996 A
5550782 Cliff et al. Aug 1996 A
5555434 Carlstedt Sep 1996 A
5559450 Ngai et al. Sep 1996 A
5561738 Kinerk et al. Oct 1996 A
5568624 Sites et al. Oct 1996 A
5570040 Lytle et al. Oct 1996 A
5572710 Asano et al. Nov 1996 A
5574927 Scantlin Nov 1996 A
5574930 Halverson, Jr. et al. Nov 1996 A
5581731 King et al. Dec 1996 A
5581734 DiBrino et al. Dec 1996 A
5583450 Trimberger et al. Dec 1996 A
5584013 Cheong et al. Dec 1996 A
5586044 Agrawal et al. Dec 1996 A
5587921 Agrawal et al. Dec 1996 A
5588152 Dapp et al. Dec 1996 A
5590345 Barker et al. Dec 1996 A
5590348 Phillips et al. Dec 1996 A
5596742 Agarwal et al. Jan 1997 A
5600265 El Gamal et al. Feb 1997 A
5600597 Kean et al. Feb 1997 A
5600845 Gilson Feb 1997 A
5602999 Hyatt Feb 1997 A
5603005 Bauman et al. Feb 1997 A
5606698 Powell Feb 1997 A
5608342 Trimberger Mar 1997 A
5611049 Pitts Mar 1997 A
5617547 Feeney et al. Apr 1997 A
5617577 Barker et al. Apr 1997 A
5619720 Garde et al. Apr 1997 A
5625806 Kromer Apr 1997 A
5625836 Barker et al. Apr 1997 A
5627992 Baror May 1997 A
5634131 Matter et al. May 1997 A
5635851 Tavana Jun 1997 A
5642058 Trimberger et al. Jun 1997 A
5646544 Iadanza Jul 1997 A
5646545 Trimberger et al. Jul 1997 A
5649176 Selvidge et al. Jul 1997 A
5649179 Steenstra et al. Jul 1997 A
5652529 Gould et al. Jul 1997 A
5652894 Hu et al. Jul 1997 A
5655069 Ogawara et al. Aug 1997 A
5655124 Lin Aug 1997 A
5656950 Duong et al. Aug 1997 A
5657330 Matsumoto Aug 1997 A
5659785 Pechanek et al. Aug 1997 A
5659797 Zandveld et al. Aug 1997 A
5675262 Duong et al. Oct 1997 A
5675743 Mavity Oct 1997 A
5675757 Davidson et al. Oct 1997 A
5675777 Glickman Oct 1997 A
5677909 Heide Oct 1997 A
5680583 Kuijsten Oct 1997 A
5682491 Pechanek et al. Oct 1997 A
5682544 Pechanek et al. Oct 1997 A
5687325 Chang Nov 1997 A
5694602 Smith Dec 1997 A
5696791 Yeung Dec 1997 A
5696976 Nizar et al. Dec 1997 A
5701091 Kean Dec 1997 A
5705938 Kean Jan 1998 A
5706482 Matsushima et al. Jan 1998 A
5713037 Wilkinson et al. Jan 1998 A
5717890 Ichida et al. Feb 1998 A
5717943 Barker et al. Feb 1998 A
5727229 Kan et al. Mar 1998 A
5732209 Vigil et al. Mar 1998 A
5734869 Chen Mar 1998 A
5734921 Dapp et al. Mar 1998 A
5737516 Circello et al. Apr 1998 A
5737565 Mayfield Apr 1998 A
5742180 DeHon et al. Apr 1998 A
5745734 Craft et al. Apr 1998 A
5748872 Norman May 1998 A
5748979 Trimberger May 1998 A
5752035 Trimberger May 1998 A
5754459 Telikepalli May 1998 A
5754820 Yamagami May 1998 A
5754827 Barbier et al. May 1998 A
5754871 Wilkinson et al. May 1998 A
5754876 Tamaki et al. May 1998 A
5760602 Tan Jun 1998 A
5761484 Agarwal et al. Jun 1998 A
5768629 Wise et al. Jun 1998 A
5773994 Jones Jun 1998 A
5778237 Yamamoto et al. Jul 1998 A
5778439 Timberger et al. Jul 1998 A
5781756 Hung Jul 1998 A
5784313 Trimberger et al. Jul 1998 A
5784630 Saito et al. Jul 1998 A
5784636 Rupp Jul 1998 A
5794059 Barker et al. Aug 1998 A
5794062 Baxter Aug 1998 A
5801547 Kean Sep 1998 A
5801715 Norman Sep 1998 A
5801958 Dangelo et al. Sep 1998 A
5802290 Casselman Sep 1998 A
5804986 Jones Sep 1998 A
5815004 Trimberger et al. Sep 1998 A
5815715 Ku.cedilla.uk.cedilla.akar Sep 1998 A
5815726 Cliff Sep 1998 A
5821774 Veytsman et al. Oct 1998 A
5828229 Cliff et al. Oct 1998 A
5828858 Athanas et al. Oct 1998 A
5831448 Kean Nov 1998 A
5832288 Wong Nov 1998 A
5838165 Chatter Nov 1998 A
5838988 Panwar et al. Nov 1998 A
5841973 Kessler et al. Nov 1998 A
5844422 Trimberger et al. Dec 1998 A
5844888 Markkula, Jr. et al. Dec 1998 A
5848238 Shimomura et al. Dec 1998 A
5854918 Baxter Dec 1998 A
5857097 Henzinger et al. Jan 1999 A
5857109 Taylor Jan 1999 A
5859544 Norman Jan 1999 A
5860119 Dockser Jan 1999 A
5862403 Kanai et al. Jan 1999 A
5867691 Shiraishi Feb 1999 A
5867723 Chin et al. Feb 1999 A
5870620 Kadosumi et al. Feb 1999 A
5884075 Hester et al. Mar 1999 A
5887162 Williams et al. Mar 1999 A
5887165 Martel et al. Mar 1999 A
5889533 Lee Mar 1999 A
5889982 Rodgers et al. Mar 1999 A
5892370 Eaton et al. Apr 1999 A
5892961 Trimberger Apr 1999 A
5892962 Cloutier Apr 1999 A
5894565 Furtek et al. Apr 1999 A
5895487 Boyd et al. Apr 1999 A
5898602 Rothman et al. Apr 1999 A
5901279 Davis, III May 1999 A
5913925 Kahle et al. Jun 1999 A
5915099 Takata et al. Jun 1999 A
5915123 Mirsky et al. Jun 1999 A
5924119 Sindhu et al. Jul 1999 A
5926638 Inoue Jul 1999 A
5933023 Young Aug 1999 A
5933642 Greenbaum et al. Aug 1999 A
5936424 Young et al. Aug 1999 A
5943242 Vorbach et al. Aug 1999 A
5956518 DeHon et al. Sep 1999 A
5960193 Guttag et al. Sep 1999 A
5960200 Eager et al. Sep 1999 A
5966143 Breternitz, Jr. Oct 1999 A
5966534 Cooke et al. Oct 1999 A
5970254 Cooke et al. Oct 1999 A
5978260 Trimberger et al. Nov 1999 A
5978583 Ekanadham et al. Nov 1999 A
5996048 Cherabuddi et al. Nov 1999 A
5996083 Gupta et al. Nov 1999 A
5999990 Sharrit et al. Dec 1999 A
6003143 Kim et al. Dec 1999 A
6011407 New Jan 2000 A
6014509 Furtek et al. Jan 2000 A
6020758 Patel et al. Feb 2000 A
6020760 Sample et al. Feb 2000 A
6021490 Vorbach et al. Feb 2000 A
6023564 Trimberger Feb 2000 A
6023742 Ebeling et al. Feb 2000 A
6026478 Dowling Feb 2000 A
6026481 New et al. Feb 2000 A
6034538 Abramovici Mar 2000 A
6035371 Magloire Mar 2000 A
6038650 Vorbach et al. Mar 2000 A
6038656 Martin et al. Mar 2000 A
6044030 Zheng et al. Mar 2000 A
6045585 Blainey Apr 2000 A
6047115 Mohan et al. Apr 2000 A
6049222 Lawman Apr 2000 A
6049866 Earl Apr 2000 A
6052524 Pauna Apr 2000 A
6052773 DeHon et al. Apr 2000 A
6054873 Laramie Apr 2000 A
6055619 North et al. Apr 2000 A
6058266 Megiddo et al. May 2000 A
6058469 Baxter May 2000 A
6064819 Franssen et al. May 2000 A
6072348 New et al. Jun 2000 A
6075935 Ussery et al. Jun 2000 A
6076157 Borkenhagen et al. Jun 2000 A
6077315 Greenbaum et al. Jun 2000 A
6078736 Guccione Jun 2000 A
6081903 Vorbach et al. Jun 2000 A
6084429 Trimberger Jul 2000 A
6085317 Smith Jul 2000 A
6086628 Dave et al. Jul 2000 A
6088795 Vorbach et al. Jul 2000 A
6092174 Roussakov Jul 2000 A
RE36839 Simmons et al. Aug 2000 E
6096091 Hartmann Aug 2000 A
6105105 Trimberger et al. Aug 2000 A
6105106 Manning Aug 2000 A
6108760 Mirsky et al. Aug 2000 A
6118724 Higginbottom Sep 2000 A
6119181 Vorbach et al. Sep 2000 A
6122719 Mirsky et al. Sep 2000 A
6125072 Wu Sep 2000 A
6125408 McGee et al. Sep 2000 A
6127908 Bozler et al. Oct 2000 A
6128720 Pechanek et al. Oct 2000 A
6134166 Lytle et al. Oct 2000 A
6137307 Iwanczuk et al. Oct 2000 A
6145072 Shams et al. Nov 2000 A
6150837 Beal et al. Nov 2000 A
6150839 New et al. Nov 2000 A
6154048 Iwanczuk et al. Nov 2000 A
6154049 New Nov 2000 A
6154826 Wulf et al. Nov 2000 A
6157214 Marshall Dec 2000 A
6170051 Dowling Jan 2001 B1
6172520 Lawman et al. Jan 2001 B1
6173419 Barnett Jan 2001 B1
6173434 Wirthlin et al. Jan 2001 B1
6178494 Casselman Jan 2001 B1
6185256 Saito et al. Feb 2001 B1
6185731 Maeda et al. Feb 2001 B1
6188240 Nakaya Feb 2001 B1
6188650 Hamada et al. Feb 2001 B1
6191614 Schultz et al. Feb 2001 B1
6198304 Sasaki Mar 2001 B1
6201406 Iwanczuk et al. Mar 2001 B1
6202163 Gabzdyl et al. Mar 2001 B1
6202182 Abramovici et al. Mar 2001 B1
6204687 Schultz et al. Mar 2001 B1
6211697 Lien et al. Apr 2001 B1
6212544 Borkenhagen et al. Apr 2001 B1
6212650 Guccione Apr 2001 B1
6215326 Jefferson et al. Apr 2001 B1
6216223 Revilla et al. Apr 2001 B1
6219833 Solomon et al. Apr 2001 B1
RE37195 Kean May 2001 E
6230307 Davis et al. May 2001 B1
6240502 Panwar et al. May 2001 B1
6243808 Wang Jun 2001 B1
6247147 Beenstra et al. Jun 2001 B1
6249756 Bunton et al. Jun 2001 B1
6252792 Marshall et al. Jun 2001 B1
6256724 Hocevar et al. Jul 2001 B1
6260114 Schug Jul 2001 B1
6260179 Ohsawa et al. Jul 2001 B1
6262908 Marshall et al. Jul 2001 B1
6263430 Trimberger et al. Jul 2001 B1
6266760 DeHon et al. Jul 2001 B1
6279077 Nasserbakht et al. Aug 2001 B1
6282627 Wong et al. Aug 2001 B1
6282701 Wygodny et al. Aug 2001 B1
6285624 Chen Sep 2001 B1
6286134 Click, Jr. et al. Sep 2001 B1
6288566 Hanrahan et al. Sep 2001 B1
6289369 Sundaresan Sep 2001 B1
6289440 Casselman Sep 2001 B1
6298043 Mauger et al. Oct 2001 B1
6298396 Loyer et al. Oct 2001 B1
6298472 Phillips et al. Oct 2001 B1
6301706 Maslennikov et al. Oct 2001 B1
6311200 Hanrahan et al. Oct 2001 B1
6311265 Beckerle et al. Oct 2001 B1
6321298 Hubis Nov 2001 B1
6321366 Tseng et al. Nov 2001 B1
6321373 Ekanadham et al. Nov 2001 B1
6338106 Vorbach et al. Jan 2002 B1
6339424 Ishikawa et al. Jan 2002 B1
6339840 Kothari et al. Jan 2002 B1
6341318 Dakhil Jan 2002 B1
6347346 Taylor Feb 2002 B1
6349346 Hanrahan et al. Feb 2002 B1
6353841 Marshall et al. Mar 2002 B1
6362650 New et al. Mar 2002 B1
6370596 Dakhil Apr 2002 B1
6373779 Pang et al. Apr 2002 B1
6374286 Gee Apr 2002 B1
6378068 Foster et al. Apr 2002 B1
6381624 Colon-Bonet et al. Apr 2002 B1
6389379 Lin et al. May 2002 B1
6389579 Phillips et al. May 2002 B1
6392912 Hanrahan et al. May 2002 B1
6400601 Sudo et al. Jun 2002 B1
6404224 Azegami et al. Jun 2002 B1
6405185 Pechanek et al. Jun 2002 B1
6405299 Vorbach et al. Jun 2002 B1
6421808 McGeer Jul 2002 B1
6421809 Wuytack et al. Jul 2002 B1
6421817 Mohan et al. Jul 2002 B1
6425054 Nguyen Jul 2002 B1
6425068 Vorbach Jul 2002 B1
6426649 Fu et al. Jul 2002 B1
6427156 Chapman et al. Jul 2002 B1
6430309 Pressman et al. Aug 2002 B1
6434642 Camilleri et al. Aug 2002 B1
6434672 Gaither Aug 2002 B1
6434695 Esfahani et al. Aug 2002 B1
6434699 Jones et al. Aug 2002 B1
6437441 Yamamoto Aug 2002 B1
6438747 Schreiber et al. Aug 2002 B1
6449283 Chao et al. Sep 2002 B1
6456628 Greim et al. Sep 2002 B1
6457116 Mirsky et al. Sep 2002 B1
6476634 Bilski Nov 2002 B1
6477643 Vorbach et al. Nov 2002 B1
6480937 Vorbach et al. Nov 2002 B1
6480954 Trimberger et al. Nov 2002 B2
6483343 Faith et al. Nov 2002 B1
6487709 Keller et al. Nov 2002 B1
6490695 Zagorski et al. Dec 2002 B1
6496740 Robertson et al. Dec 2002 B1
6496902 Faanes et al. Dec 2002 B1
6496971 Lesea et al. Dec 2002 B1
6504398 Lien et al. Jan 2003 B1
6507898 Gibson et al. Jan 2003 B1
6507947 Schreiber et al. Jan 2003 B1
6512804 Johnson et al. Jan 2003 B1
6513077 Vorbach et al. Jan 2003 B2
6516382 Manning Feb 2003 B2
6518787 Allegrucci et al. Feb 2003 B1
6519674 Lam et al. Feb 2003 B1
6523107 Stansfield et al. Feb 2003 B1
6525678 Veenstra et al. Feb 2003 B1
6526520 Vorbach et al. Feb 2003 B1
6538468 Moore Mar 2003 B1
6538470 Langhammer et al. Mar 2003 B1
6539415 Mercs Mar 2003 B1
6539438 Ledzius et al. Mar 2003 B1
6539477 Seawright Mar 2003 B1
6542394 Marshall et al. Apr 2003 B2
6542844 Hanna Apr 2003 B1
6542998 Vorbach Apr 2003 B1
6553395 Marshall et al. Apr 2003 B2
6553479 Mirsky et al. Apr 2003 B2
6567834 Marshall et al. May 2003 B1
6571381 Vorbach et al. May 2003 B1
6587939 Takano Jul 2003 B1
6598128 Yoshioka et al. Jul 2003 B1
6606704 Adiletta et al. Aug 2003 B1
6624819 Lewis Sep 2003 B1
6625631 Ruehle Sep 2003 B2
6631487 Abramovici et al. Oct 2003 B1
6633181 Rupp Oct 2003 B1
6657457 Hanrahan et al. Dec 2003 B1
6658564 Smith et al. Dec 2003 B1
6665758 Frazier et al. Dec 2003 B1
6668237 Guccione et al. Dec 2003 B1
6681388 Sato et al. Jan 2004 B1
6687788 Vorbach et al. Feb 2004 B2
6694434 McGee et al. Feb 2004 B1
6697979 Vorbach et al. Feb 2004 B1
6704816 Burke Mar 2004 B1
6708223 Wang et al. Mar 2004 B1
6708325 Cooke et al. Mar 2004 B2
6717436 Kress et al. Apr 2004 B2
6721830 Vorbach et al. Apr 2004 B2
6725334 Barroso et al. Apr 2004 B2
6728871 Vorbach et al. Apr 2004 B1
6745317 Mirsky et al. Jun 2004 B1
6748440 Lisitsa et al. Jun 2004 B1
6751722 Mirsky et al. Jun 2004 B2
6754805 Juan Jun 2004 B1
6757847 Farkash et al. Jun 2004 B1
6757892 Gokhale et al. Jun 2004 B1
6782445 Olgiati et al. Aug 2004 B1
6785826 Durham et al. Aug 2004 B1
6802026 Patterson et al. Oct 2004 B1
6803787 Wicker, Jr. Oct 2004 B1
6820188 Stansfield et al. Nov 2004 B2
6829697 Davis et al. Dec 2004 B1
6836842 Guccione et al. Dec 2004 B1
6847370 Baldwin et al. Jan 2005 B2
6859869 Vorbach Feb 2005 B1
6868476 Rosenbluth Mar 2005 B2
6871341 Shyr Mar 2005 B1
6874108 Abramovici et al. Mar 2005 B1
6886092 Douglass et al. Apr 2005 B1
6901502 Yano et al. May 2005 B2
6928523 Yamada Aug 2005 B2
6957306 So et al. Oct 2005 B2
6961924 Bates et al. Nov 2005 B2
6975138 Pani et al. Dec 2005 B2
6977649 Baldwin et al. Dec 2005 B1
7000161 Allen et al. Feb 2006 B1
7007096 Lisitsa et al. Feb 2006 B1
7010667 Vorbach Mar 2006 B2
7010687 Ichimura Mar 2006 B2
7028107 Vorbach et al. Apr 2006 B2
7036114 McWilliams et al. Apr 2006 B2
7038952 Zack et al. May 2006 B1
7043416 Lin May 2006 B1
7144152 Rusu et al. Dec 2006 B2
7155708 Hammes et al. Dec 2006 B2
7164422 Wholey et al. Jan 2007 B1
7210129 May et al. Apr 2007 B2
7216204 Rosenbluth May 2007 B2
7237087 Vorbach et al. Jun 2007 B2
7249351 Songer et al. Jul 2007 B1
7254649 Subramanian et al. Aug 2007 B2
7340596 Crosland et al. Mar 2008 B1
7346644 Langhammer et al. Mar 2008 B1
7350178 Crosland et al. Mar 2008 B1
7382156 Pani et al. Jun 2008 B2
7455450 Liu et al. Nov 2008 B2
7595659 Vorbach et al. Sep 2009 B2
7650448 Vorbach et al. Jan 2010 B2
7657877 Vorbach et al. Feb 2010 B2
7759968 Hussein et al. Jul 2010 B1
7873811 Wolinski et al. Jan 2011 B1
20010001860 Bieu May 2001 A1
20010003834 Shimonishi Jun 2001 A1
20010010074 Nishihara et al. Jul 2001 A1
20010018733 Fujii et al. Aug 2001 A1
20010032305 Barry Oct 2001 A1
20020004916 Marchand et al. Jan 2002 A1
20020010853 Trimberger et al. Jan 2002 A1
20020013861 Adiletta et al. Jan 2002 A1
20020038414 Taylor Mar 2002 A1
20020045952 Blemel Apr 2002 A1
20020051482 Lomp May 2002 A1
20020073282 Chauvel et al. Jun 2002 A1
20020083308 Pereira et al. Jun 2002 A1
20020099759 Gootherts Jul 2002 A1
20020103839 Ozawa Aug 2002 A1
20020124238 Metzgen Sep 2002 A1
20020138716 Master et al. Sep 2002 A1
20020143505 Drusinsky Oct 2002 A1
20020144229 Hanrahan Oct 2002 A1
20020147932 Brock et al. Oct 2002 A1
20020152060 Tseng Oct 2002 A1
20020156962 Chopra et al. Oct 2002 A1
20020162097 Meribout Oct 2002 A1
20020165886 Lam Nov 2002 A1
20030001615 Sueyoshi et al. Jan 2003 A1
20030014743 Cooke et al. Jan 2003 A1
20030046607 May et al. Mar 2003 A1
20030052711 Taylor Mar 2003 A1
20030055861 Lai et al. Mar 2003 A1
20030056062 Prabhu Mar 2003 A1
20030056085 Vorbach Mar 2003 A1
20030056091 Greenberg Mar 2003 A1
20030056202 May et al. Mar 2003 A1
20030061542 Bates et al. Mar 2003 A1
20030062922 Douglass et al. Apr 2003 A1
20030070059 Dally et al. Apr 2003 A1
20030086300 Noyes et al. May 2003 A1
20030093662 Vorbach et al. May 2003 A1
20030097513 Vorbach et al. May 2003 A1
20030123579 Safavi et al. Jul 2003 A1
20030135686 Vorbach et al. Jul 2003 A1
20030154349 Berg et al. Aug 2003 A1
20030192032 Andrade et al. Oct 2003 A1
20030226056 Yip et al. Dec 2003 A1
20040015899 May et al. Jan 2004 A1
20040025005 Vorbach et al. Feb 2004 A1
20040039880 Pentkovski et al. Feb 2004 A1
20040078548 Claydon et al. Apr 2004 A1
20040088689 Hammes May 2004 A1
20040088691 Hammes et al. May 2004 A1
20040168099 Vorbach et al. Aug 2004 A1
20040199688 Vorbach et al. Oct 2004 A1
20050066213 Vorbach et al. Mar 2005 A1
20050091468 Morita et al. Apr 2005 A1
20050144210 Simkins et al. Jun 2005 A1
20050144212 Simkins et al. Jun 2005 A1
20050144215 Simkins et al. Jun 2005 A1
20060036988 Allen et al. Feb 2006 A1
20060095716 Ramesh May 2006 A1
20060230094 Simkins et al. Oct 2006 A1
20060230096 Thendean et al. Oct 2006 A1
20070050603 Vorbach et al. Mar 2007 A1
20070083730 Vorbach et al. Apr 2007 A1
20070143577 Smith Jun 2007 A1
20080313383 Morita et al. Dec 2008 A1
20090085603 Paul et al. Apr 2009 A1
20090193384 Sima et al. Jul 2009 A1
20100306602 Kamiya et al. Dec 2010 A1
Foreign Referenced Citations (118)
Number Date Country
42 21 278 Jan 1994 DE
44 16 881 Nov 1994 DE
38 55 673 Nov 1996 DE
196 51 075 Jun 1998 DE
196 54 593 Jul 1998 DE
196 54 595 Jul 1998 DE
196 54 846 Jul 1998 DE
197 04 044 Aug 1998 DE
197 04 728 Aug 1998 DE
197 04 742 Sep 1998 DE
198 22 776 Mar 1999 DE
198 07 872 Aug 1999 DE
198 61 088 Feb 2000 DE
199 26 538 Dec 2000 DE
100 28 397 Dec 2001 DE
100 36 627 Feb 2002 DE
101 29 237 Apr 2002 DE
102 04 044 Aug 2003 DE
0 208 457 Jan 1987 EP
0 221 360 May 1987 EP
0 398 552 Nov 1990 EP
0 428 327 May 1991 EP
0 463 721 Jan 1992 EP
0 477 809 Apr 1992 EP
0 485 690 May 1992 EP
0 497 029 Aug 1992 EP
0 539 595 May 1993 EP
0 638 867 Aug 1994 EP
0 628 917 Dec 1994 EP
0 678 985 Oct 1995 EP
0 686 915 Dec 1995 EP
0 696 001 Feb 1996 EP
0 707 269 Apr 1996 EP
0 726 532 Aug 1996 EP
0 735 685 Oct 1996 EP
0 746 106 Dec 1996 EP
0 748 051 Dec 1996 EP
0 926 594 Jun 1999 EP
1 061 439 Dec 2000 EP
1 115 204 Jul 2001 EP
1 146 432 Oct 2001 EP
1 669 885 Jun 2006 EP
2 752 466 Feb 1998 FR
2 304 438 Mar 1997 GB
58-058672 Apr 1983 JP
1044571 Feb 1989 JP
1-229378 Sep 1989 JP
2-130023 May 1990 JP
2-226423 Sep 1990 JP
5-265705 Oct 1993 JP
5-276007 Oct 1993 JP
5-509184 Dec 1993 JP
6-266605 Sep 1994 JP
7-086921 Mar 1995 JP
7-154242 Jun 1995 JP
8-148989 Jun 1995 JP
8-044581 Feb 1996 JP
8-069447 Mar 1996 JP
8-101761 Apr 1996 JP
8-102492 Apr 1996 JP
8-221164 Aug 1996 JP
8-250685 Sep 1996 JP
9-027745 Jan 1997 JP
9-294069 Nov 1997 JP
11-184718 Jul 1999 JP
11-307725 Nov 1999 JP
2000-076066 Mar 2000 JP
2000-181566 Jun 2000 JP
2000-201066 Jul 2000 JP
2000-311156 Nov 2000 JP
2001-500682 Jan 2001 JP
2001-167066 Jun 2001 JP
2001-510650 Jul 2001 JP
2002-0033457 Jan 2002 JP
3-961028 Aug 2007 JP
WO9004835 May 1990 WO
WO9011648 Oct 1990 WO
WO9201987 Feb 1992 WO
WO9311503 Jun 1993 WO
WO9406077 Mar 1994 WO
WO9408399 Apr 1994 WO
WO9526001 Sep 1995 WO
WO9810517 Mar 1998 WO
WO9826356 Jun 1998 WO
WO9828697 Jul 1998 WO
WO9829952 Jul 1998 WO
WO9831102 Jul 1998 WO
WO9835294 Aug 1998 WO
WO9835299 Aug 1998 WO
WO9900731 Jan 1999 WO
WO9900739 Jan 1999 WO
WO9912111 Mar 1999 WO
WO9932975 Jul 1999 WO
WO9940522 Aug 1999 WO
WO9944120 Sep 1999 WO
WO9944147 Sep 1999 WO
WO0017771 Mar 2000 WO
WO0038087 Jun 2000 WO
WO0045282 Aug 2000 WO
WO0049496 Aug 2000 WO
WO0077652 Dec 2000 WO
WO0155917 Aug 2001 WO
WO0213000 Feb 2002 WO
WO0229600 Apr 2002 WO
WO0250665 Jun 2002 WO
WO02071196 Sep 2002 WO
WO02071248 Sep 2002 WO
WO02071249 Sep 2002 WO
WO02103532 Dec 2002 WO
WO03017095 Feb 2003 WO
WO03023616 Mar 2003 WO
WO03025781 Mar 2003 WO
WO03036507 May 2003 WO
WO 03091875 Nov 2003 WO
WO2004053718 Jun 2004 WO
WO2004114128 Dec 2004 WO
WO2005045692 May 2005 WO
WO 2007030395 Mar 2007 WO
Non-Patent Literature Citations (384)
Entry
U.S. Reexamination Appl. Control No. 90/010,979, Vorbach et al., filed May 4, 2010.
U.S. Reexamination Appl. Control No. 90/011,087, Vorbach et al., filed Jul. 8, 2010.
U.S. Reexamination Appl. Control No. 90/010,450, Vorbach et al., filed Mar. 27, 2009.
U.S. Appl. No. 60/109,417, Jefferson et al., filed Nov. 18, 1998.
Abnous et al., “Ultra-Low-Power Domain-Specific Multimedia Processors,” U.C. Berkeley, 1996 IEEE, pp. 461-470.
Abnous, A., et al., “The Pleiades Architecture,” Chapter I of The Application of Programmable DSPs in Mobile Communications, A. Gatherer and A. Auslander, Ed., Wiley, 2002, pp. 1-33.
Ade, et al., “Minimum Memory Buffers in DSP Applications,” Electronics Letters, vol. 30, No. 6, Mar. 17, 1994, pp. 469-471.
Advanced RISC Machines, “Introduction to AMBA,” Oct. 1996, Section 1, pp. 1-7.
Arm, “The Architecture for the Digital World,” http://www.arm.com/products/ Mar. 18, 2009, 3 pages.
Arm, “The Architecture for the Digital World; Milestones,” http://www.arm.com/aboutarm/milestones.html Mar. 18, 2009, 5 pages.
Arm Limited, “ARM Architecture Reference Manual,” Dec. 6, 2000, pp. A10-6-A10-7.
Albahama, O.T. et al., “On the Viability of FPGA-Based Integrated Coprocessors,” Dept. of Electrical and Electronic Engineering, Imperial College of Science, London, 1999 IEEE, pp. 206-215.
Alippi, et al., “Determining the Optimum Extended Instruction Set Architecture for Application Specific Reconfigurable VLIW CPUs,” IEEE, 2001, pp. 50-56.
Altera, “Implementing High-Speed Search Applications with Altera CAM,” Jul. 2001, Ver. 2.1, Application Note 119, 50 pages.
Altera, “Flex 8000 Programmable Logic Device Family,” Altera Corporation Data Sheet, Jan. 2003, pp. 1-62.
Altera, “Flex 10K Embedded Programmable Logic Device Family,” Altera Corporation Data Sheet, Jan. 2003, pp. 1-128.
Altera, “APEX 20K Programmable Logic Device Family,” Altera Corporation Data Sheet, Mar. 2004, ver. 5.1, pp. 1-117.
Altera, “2. TriMatrix Embedded Memory Blocks in Stratix & Stratix GX Devices,” Altera Corporation, Jul. 2005, 28 pages.
Altera, “APEX II Programmable Logic Device Family,” Altera Corporation Data Sheet, Aug. 2002, Ver. 3.0, 99 pages.
Arabi, et al., “PLD Integrates Dedicated High-speed Data Buffering, Complex State machine, and Fast Decode Array,” conference record on WESCON '93, Sep. 28, 1993, pp. 432-436.
Asari, K. et al., “FeRAM circuit technology for system on a chip,” Proceedings First NASA/DoD Workshop on Evolvable Hardware (1999), pp. 193-197.
Athanas, “A Functional Reconfigurable Architecture and Compiler for Adoptive Computing,” IEEE 1993, pp. 49-55.
Athanas, et al., “An Adaptive Hardware Machine Architecture and Compiler for Dynamic Processor Recongifugation,” IEEE, Laboratory for Engineering man/Machine Systems Division of Engineering, Box D, Brown University, Providence, Rhode Island, 1991, pp. 397-400.
Athanas et al., “Processor Reconfiguration Through Instruction-Set Metamorphosis,” 1993, IEEE Computers, pp. 11-18.
Atmel, 5-K-50K Gates Coprocessor FPGA with Free Ram, Data Sheet, Jul. 2006, 55 pages.
Atmel, FPGA-based FIR Filter Application Note, Sep. 1999, 10 pages.
Atmel, “An Introduction to DSP Applications using the AT40K FPGA,” FPGA Application Engineering, San Jose, CA, Apr. 2004, 15 pages.
Atmel, Configurable Logic Design & Application Book, Atmel Corporation, 1995, pp. 2-19 through 2-25.
Atmel, Field Programmable Gate Array Configuration Guide, AT6000 Series Configuration Data Sheet, Sep. 1999, pp. 1-20.
Bacon, D. et al., “Compiler Transformations for High-Performance Computing,” ACM Computing Surveys, 26(4):325-420 (1994).
Bakkes, P.J., et al., “Mixing Fixed and Reconfigurable Logic for Array Processing,” Dept. of Electrical and Electronic Engineering, University of Stellenbosch, South Africa, 1996 IEEE, pp. 118-125.
Ballagh et al., “Java Debug Hardware Models Using JBits,” 8th Reconfigurable Architectures Workshop, 2001, 8 pages.
Baumgarte, V. et al., “PACT XPP—A Self-reconfigurable Data Processing Architecture,” PACT Info. GMBH, Munchen Germany, 2001, 7 pages.
Beck et al., “From control flow to data flow,” TR 89-1050, Oct. 1989, Dept. of Computer Science, Cornell University, Ithaca, NY, pp. 1-25.
Becker, J., “A Partitioning Compiler for Computers with Xputer-based Accelerators,” 1997, Kaiserslautern University, 326 pp.
Becker, J. et al., “Architecture, Memory and Interface Technology Integration of an Industrial/Academic Configurable System-on-Chip (CSoC),” IEEE Computer Society Annual Workshop on VLSI (WVLSI 2003), (Feb. 2003), 6 pages.
Becker, J., “Configurable Systems-on-Chip (CSoC),” (Invited Tutorial), Proc. of 9th Proc. of XV Brazilian Symposium on Integrated Circuit, Design (SBCCI 2002), (Sep. 2002), 6 pages.
Becker et al., “Automatic Parallelism Exploitation for FPL-Based Accelerators,” 1998, Proc. 31st Annual Hawaii International Conference on System Sciences, pp. 169-178.
Becker, J. et al., “Parallelization in Co-compilation for Configurable Accelerators—a Host/accelerator Partitioning Compilation Method,” Proceedings of Asia and South Pacific Design. Automation Conference, Yokohama, Japan, Feb. 10-13, 1998, 11 pages.
Bellows et al., “Designing Run-Time Reconfigurable Systems with JHDL,” Journal of VLSI Signal Processing 28, Kluwer Academic Publishers, The Netherlands, 2001, pp. 29-45.
Bittner, “Wormhole Run-time Reconfiguration: Conceptualization and VLSI Design of a High Performance Computing System,” Dissertation, Jan. 23, 1997, pp. 1-XX, 1-415.
“BlueGene/L—Hardware Architecture Overview,” BlueGene/L design team, IBM Research, Oct. 17, 2003 slide presentation, pp. 1-23.
“BlueGene/L: the next generation of scalable supercomputer,” Kissel et al., Lawrence Livermore National Laboratory, Livermore, California, Nov. 18, 2002, 29 pages.
BlueGene Project Update, Jan. 2002, IBM slide presentation, 20 pages.
BlueGene/L, “An Overview of the BlueGene/L Supercomputer,” The BlueGene/L Team, IBM and Lawrence Livermore National Laboratory, 2002 IEEE. pp. 1-22.
Bolsens, Ivo (CTO Xilinx), “FPGA, a history of interconnect,” Xilinx slide presentation, posted on the internet Oct. 30, 2008 at http://www.docstoc.com/docs/2198008/FPGA-a-history-of-interconnect, 32 pages.
Bondalapati et al., “Reconfigurable Meshes: Theory and Practice,” Dept. of Electrical Engineering-Systems, Univ. of Southern California, Apr. 1997, Reconfigurable Architectures Workshop, International Parallel Processing Symposium, 15 pages.
Bratt, A, “Motorola field programmable analogue arrays, present hardware and future trends,” Motorola Programmable Technology Centre, Gadbrook Business Centre, Northwich, Cheshire, 1998, The Institute of Electrical Engineers, IEE. Savoy Place, London, pp. 1-5.
Cadambi, et al., “Managing Pipeline-reconfigurable FPGAs,” ACM, 1998, pp. 55-64.
Callahan, et al., “The Garp Architecture and C Compiler,” Computer, Apr. 2000, pp. 62-69.
Cardoso, J.M.P., et al., “A novel algorithm combining temporal partitioning and sharing of functional units,” University of Algarve, Faro, Portugal, 2001 IEEE, pp. 1-10.
Cardoso, Joao M.P., and Markus Weinhardt, “XPP-VC: A C Compiler with Temporal Partitioning for the PACT-XPP Architecture,” Field-Programmable Logic and Applications. Reconfigurable Computing is Going Mainstream, 12th International Conference FPL 2002, Proceedings (Lecture Notes in Computer Science, vol. 2438) Springer-Verlag Berlin, Germany, 2002, pp. 864-874.
Cardoso, J.M.P., “Compilation of Java™ Algorithms onto Reconfigurable Computing Systems with Exploitation of Operation-Level Parallelism,” Ph.D. Thesis, Universidade Tecnica de Lisboa (UTL), Lisbon, Portugal Oct. 2000 (Table of Contents and English Abstract only).
Cardoso, J.M.P., et al., “Compilation and Temporal Partitioning for a Coarse-Grain Reconfigurable Architecture,” New Algorithms, Architectures and Applications for Reconfigurable Computing, Lysacht, P. & Rosentiel, W. eds., (2005) pp. 105-115.
Cardoso, J.M.P., et al., “Macro-Based Hardware Compilation of Java™ Bytecodes into a Dynamic Reconfigurable Computing System,” IEEE, Apr. 21, 1999, pp. 2-11.
Chaudhry, G.M. et al., “Separated caches and buses for multiprocessor system,” Circuits and Systems, 1993; Proceedings of the 36th Midwest Symposium on Detroit, MI, USA, Aug. 16-18, 1993, New York, NY IEEE, Aug. 16, 1993, pp. 1113-1116, XP010119918 ISBN: 0-7803-1760-2.
Chen et al., “A reconfigurable multiprocessor IC for rapid prototyping of algorithmic-specific high-speed DSP data paths,” IEEE Journal of Solid-State Circuits, vol. 27, No. 12, Dec. 1992, pp. 1895-1904.
Cherbaka, Mark F., “Verification and Configuration of a Run-time Reconfigurable Custom Computing Integrated Circuit for DSP Applications,” Thesis: Virginia Polytechnic Institute and State University, Jul. 8, 1996, 106 pages.
Compton, K., et al., “Configurable Computing: A Survey of Systems and Software,” Northwestern University, Dept. of ECE, Technical Report, 1999, (XP-002315148), 39 pages.
Cook, Jeffrey J., “The Amalgam Compiler Infrastructure,” Thesis at the University of Illinois at Urbana-Champaign (2004) Chapter 7 & Appendix G.
Cronquist, D., et al., “Architecture Design of Reconfigurable Pipelined Datapaths,” Department of Computer Science and Engineering, University of Washington, Seattle, WA, Proceedings of the 20th Anniversary Conference on Advanced Research in VSLI, 1999, pp. 1-15.
Culler, D.E; Singh, J.P., “Parallel Computer Architecture,” pp. 434-437, 1999, Morgan Kaufmann, San Francisco, CA USA, XP002477559.
Culler, D.E; Singh, J.P., “Parallel Computer Architecture,” p. 17, 1999, Morgan Kaufmann, San Francisco, CA USA, XP002477559.
DeHon, A., “DPGA Utilization and Application,” MIT Artificial Intelligence Laboratory, Proceedings of the Fourth International ACM Symposium on Field-Programmable Gate Arrays (FPGA 1996), IEEE Computer Society, pp. 1-7.
DeHon, Andre, “Reconfigurable Architectures for General-Purpose Computing,” Massachusetts Institute of Technology, Technical Report AITR-1586, Oct. 1996, XP002445054, Cambridge, MA, pp. 1-353.
Del Corso et al., “Microcomputer Buses and Links,” Academic Press Inc. Ltd., 1986, pp. 138-143, 277-285.
Diniz, P., et al., “Automatic Synthesis of Data Storage and Control Structures for FPGA-based Computing Engines,” 2000, IEEE, pp. 91-100.
Diniz, P., et al., “A behavioral synthesis estimation interface for configurable computing,” University of Southern California, Marina Del Rey, CA, 2001 IEEE, pp. 1-2.
Donandt, “Improving Response Time of Programmable Logic Controllers by use of a Boolean Coprocessor,” AEG Research Institute Berlin, IEEE, 1989, pp. 4-167-4-169.
Dutt, et al., “If Software is King for Systems-in-Silicon, What's New in Compilers?” IEEE, 1997, pp. 322-325.
Ebeling, C., et al., “Mapping Applications to the RaPiD Configurable Architecture,” Department of Computer Science and Engineering, University of Washington, Seattle, Wa, FPGAs for Custom Computing Machines, 1997. Proceedings., The 5th Annual IEEE Symposium, Publication Date: Apr. 16-18, 1997, 10 pages.
Equator, Pixels to Packets, Enabling Multi-Format High Definition Video, Equator Technologies BSP-15 Product Brief, www.equator.com, 2001, 4 pages.
Fawcett, B.K., “Map, Place and Route: The Key to High-Density PLD Implementation,” Wescon Conference, IEEE Center (Nov. 7, 1995) pp. 292-297.
Ferrante, J., et al., “The Program Dependence Graph and its Use in Optimization ACM Transactions on Programming Languages and Systems,” Jul. 1987, USA, [online] Bd. 9, Nr., 3, pp. 319-349, XP002156651 ISSN: 0164-0935 ACM Digital Library.
Fineberg, S, et al., “Experimental Analysis of a Mixed-Mode Parallel Architecture Using Bitonic Sequence Sorting,” Journal of Parallel and Distributed Computing, vol. 11, No. 3, Mar. 1991, pp. 239-251.
FOLDOC, The Free On-Line Dictionary of Computing, “handshaking,” online Jan. 13, 1995, retrieved from Internet Jan. 23, 2011 at http://foldoc.org/handshake.
Fornaciari, et al., System-level power evaluation metrics, 1997 Proceedings of the 2nd Annual IEEE International Conference on Innovative Systems in Silicon, New York, NY, Oct. 1997, pp. 323-330.
Franklin, Manoj, et al., “A Fill-Unit Approach to Multiple Instruction Issue,” Proceedings of the Annual International Symposium on Microarchitecture, Nov. 1994, pp. 162-171.
Freescale Slide Presentation, An Introduction to Motorola's RCF (Reconfigurable Compute Fabric) Technology, Presented by Frank David, Launched by Freescale Semiconductor, Inc., 2004, 39 pages.
Galanis, M.D. et al., “Accelerating Applications by Mapping Critical Kernels on Coarse-Grain Reconfigurable Hardware in Hybrid Systems,” Proceedings of the 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2005, 2 pages.
Genius, D., et al., “A Case for Array Merging in Memory Hierarchies,” Proceedings of the 9th International Workshop on Compilers for Parallel Computers, CPC'01 (Jun. 2001), 10 pages.
Gokhale, M.B., et al., “Automatic Allocation of Arrays to Memories in FPGA processors with Multiple Memory Banks,” Field-Programmable Custom Computing Machines, 1999, IEEE, pp. 63-69.
Guccione et al., “JBits: Java based interface for reconfigurable computing,” Xilinx, Inc., San Jose, CA, 1999, 9 pages.
Guo, Z. et al., “A Compiler Intermediate Representation for Reconfigurable Fabrics,” University of California, Riverside, Dept. of Electrical Engineering, IEEE 2006, 4 pages.
Gwennap, Linley, “P6 Underscores Intel's Lead,” Microprocessor Report, vol. 9., No. 2, Feb. 16, 1995 (MicroDesign Resources), p. 1 and pp. 6-15.
Gwennap, Linley, “Intel's P6 Bus Designed for Multiprocessing,” Microprocessor Report, vol. 9, No. 7 (MicroDesign Resources), May 30, 1995, p. 1 and pp. 6-10.
Hammes, Jeff, et al., “Cameron: High Level Language Compilation for Reconfigurable Systems,” Department of Computer Science, Colorado State University, Conference on Parallel Architectures and Compilation Techniques, Oct. 12-16, 1999, 9 pages.
Hartenstein, R. et al., “A new FPGA architecture for word-oriented datapaths,” Proc. FPL'94, Springer LNCS, Sep. 1994, pp. 144-155.
Hartenstein, R., “Coarse grain reconfigurable architectures,” Design Automation Conference, 2001, Proceedings of the ASP-DAC 2001 Asia and South Pacific, Jan. 30-Feb. 2, 2001, IEEE Jan. 30, 2001, pp. 564-569.
Hartenstein et al., “Parallelizing Compilation for a Novel Data-Parallel Architecture,” 1995, PCAT-94, Parallel Computing: Technology and Practice, 13 pp.
Hartenstein et al., “A Two-Level Co-Design Framework for Xputer-based Data-driven Reconfigurable Accelerators,” 1997, Proceedings of the Thirtieth Annual Hawaii International Conference on System Sciences, 10 pp.
Hastie et al., “The implementation of hardware subroutines on field programmable gate arrays,” Custom Integrated Circuits Conference, 1990, Proceedings of the IEEE 1990, May 16, 1990, pp. 31.3.1-31.4.3 (3 pages).
Hauck, “The Roles of FPGAs in Reprogrammable Systems,” IEEE, Apr. 1998, pp. 615-638.
Hauser, J.R., et al., “Garp: A MIPS Processor with a Reconfigurable Coprocessor,” University of California, Berkeley, IEEE, Apr. 1997, pp. 12-23.
Hedge, S.J., “3D WASP Devices for On-line Signal and Data Processing,” 1994, International Conference on Wafer Scale Integration, pp. 11-21.
Hendrich, N., et al., “Silicon Compilation and Rapid Prototyping of Microprogrammed VLSI-Circuits with MIMOLA and SOLO 1400,” Microprocessing & Microprogramming (Sep. 1992) vol. 35(1-5), pp. 287-294.
Huang, Libo et al., “A New Architecture for Multiple-Precision Floating-Point Multiply-Add Fused Unit Design,” School of Computer National University of Defense Technology, China, IEEE 2007, 8 pages.
Hwang, K., “Advanced Computer Architecture—Parallelism, Scalability, Programmability,” 1993, McGraw-Hill, Inc., pp. 348-355.
Hwang, K., “Computer Architecture and Parallel Processing,” Data Flow Computers and VLSI Computations, XP-002418655, 1985 McGraw-Hill, Chapter 10, pp. 732-807.
Hwang, L., et al., “Min-cut Replication in Partitioned Networks,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, [online] Bd. 14, Nr. 1, Jan. 1995, pp. 96-106, XP00053228 USA ISSN: 0278-0070 IEEE Xplore.
IBM Technical Disclosure Bulletin, IBM Corp., New York, XP000424878, Bd. 36, Nr. 11, Nov. 1, 1993, pp. 335-336.
“IEEE Standard Test Access Port and Boundary-Scan Architecture,” IEEE Std. 1149.1-1990, 1993, pp. 1-127.
IMEC, “ADRES multimedia processor & 3MF multimedia platform,” Transferable IP, IMEC Technology Description, (Applicants believe the date to be Oct. 2005), 3 pages.
Intel, “Pentium Pro Family Developer's Manual, vol. 3: Operating System Writer's Guide,” Intel Corporation, Dec. 1995, [submitted in 4 PDF files: Part I, Part II, Part III and Part IV], 458 pages.
Intel, Intel MXP5800/MXP5400 Digital Media Processors, Architecture Overview, Jun. 2004, Revision 2.4, pp. 1-24.
Inside DSP, “Ambric Discloses Massively Parallel Architecture,” Aug. 23, 2006, http://www.insidedsp.com/Articles/tabid/64/articleType/ArticleView/articleId/155/Default.aspx, 2 pages.
Iseli, C., et al. “A C++ Compiler for FPGA Custom Execution Units Synthesis,” IEEE, 1995, pp. 173-179.
Isshiki, Tsuyoshi, et al., “Bit-Serial Pipeline Synthesis for Multi-FPGA Systems with C++ Design Capture,” 1996 IEEE, pp. 38-47.
Jacob, J., et al., “Memory Interfacing and Instruction Specification for Reconfigurable Processors,” ACM Feb. 1999, pp. 145-154.
Jantsch, Axel et al., “A Case Study on Hardware/Software Partitioning,” Royal Institute of Technology, Kista, Sweden, Apr. 10, 1994, IEEE, pp. 111-118.
Jantsch, Axel et al., “Hardware/Software Partitioning and Minimizing Memory Interface Traffic,” Electronic System Design Laboratory, Royal Institute of Technology, ESDLab, Electrum 229, S-16440 Kista, Sweden (Apr. 1994), pp. 226-231.
Jo, Manhwee et al., “Implementation of Floating-Point Operations for 3D Graphics on a Coarse-Grained Reconfigurable Architecture,” Design Automation Laboratory, School of EE/CS, Seoul National University, Korea, IEEE 2007, pp. 127-130.
John, L., et al., “A Dynamically Reconfigurable Interconnect for Array Processors,” vol. 6, No. 1, Mar. 1998, IEEE, pp. 150-157.
Kanter, David, “NVIDIA's GT200: Inside a Parallel Processor,” http://www.realworldtech,com/page.cfm?ArticleID=RWT090989195242&p=1, Sep. 8, 2008, 27 pages.
Kastrup, B., “Automatic Hardware Synthesis for a Hybrid Reconfigurable CPU Featuring Philips CPLDs,” Proceedings of the PACT Workshop on Reconfigurable Computing, 1998, pp. 5-10.
Kaul, M., et al., “An automated temporal partitioning and loop fission approach of FPGA based reconfigurable synthesis of DSP applications,” University of Cincinnati, Cincinnati, OH, ACM 1999, pp. 616-622.
Kean, T.A., “Configurable Logic: A Dynamically Programmable Cellular Architecture and its VLSI Implementation,” University of Edinburgh (Dissertation) 1988, pp. 1-286. [in two PDFs, Pt.1 and Pt.2.].
Kean, T., et al., “A Fast Constant Coefficient Multiplier for the XC6200,” Xilinx, Inc., Lecture Notes in Computer Science, vol. 1142, Proceedings of the 6th International Workshop of Field-Programmable Logic, 1996, 7 pages.
Kim et al., “A Reconfigurable Multifunction Computing Cache Architecture,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems vol. 9, Issue 4, Aug. 2001 pp. 509-523.
Knittel, Gunter, “A PCI-compatible FPGA-Coprocessor for 2D/3D Image Processing,” University of Turgingen, Germany, 1996 IEEE, pp. 136-145.
Koch, A., et al., “Practical Experiences with the SPARXIL Co-Processor,” 1998, IEEE, pp. 394-398.
Koch, Andreas et al., “High-Level-Language Compilation for Reconfigurable Computers,” Proceedings of European Workshop on Reconfigurable Communication-Centric SOCS (Jun. 2005) 8 pages.
Koren et al., “A data-driven VLSI array for arbitrary algorithms,” IEEE Computer Society, Long Beach, CA vol. 21, No. 10, Oct. 1, 1988, pp. 30-34.
Kung, “Deadlock Avoidance for Systolic Communication,” 1988 Conference Proceedings of the 15th Annual International Symposium on Computer Architecture, May 30, 1998, pp. 252-260.
Lange, H. et al., “Memory access schemes for configurable processors,” Field-Programmable Logic and Applications, International Workshop, FPL, Aug. 27, 2000, pp. 615-625, XP002283963.
Larsen, S., et al., “Increasing and Detecting Memory Address Congruence,” Proceedings of the 2002 IEEE International Conference on Parallel Architectures and Compilation Techniques (PACT'02), pp. 1-12 (Sep. 2002).
Lee et al., “A new distribution network based on controlled switching elements and its applications,” IEEE/ACT Trans. of Networking, vol. 3, No. 1, pp. 70-81, Feb. 1995.
Lee, Jong-eun, et al., “Reconfigurable ALU Array Architecture with Conditional Execution,” International Soc. Design Conference (ISOOC) [online] Oct. 25, 2004, Seoul, Korea, 5 pages.
Lee, R. B., et al., “Multimedia extensions for general-purpose processors,” IEEE Workshop on Signal Processing Systems, SIPS 97—Design and Implementation (1997), pp. 9-23.
Lee, Ming-Hau et al., “Design and Implementation of the MorphoSys Reconfigurable Computing Processors,” The Journal of VLSI Signal Processing, Kluwer Academic Publishers, BO, vol. 24, No. 2-3, Mar. 2, 2000, pp. 1-29.
Li et al., “Hardware-Software Co-Design of Embedded Reconfigurable Architectures,” Los Angeles, CA, 2000 ACM, pp. 507-512.
Li, Zhiyuan, et al., “Configuration prefetching techniques for partial reconfigurable coprocessor with relocation and defragmentation,” International Symposium on Field Programmable Gate Arrays, Feb. 1, 2002, pp. 187-195.
Ling, X., “WASMII: An MPLD with Data-Driven Control on a Virtual Hardware,” Journal of Supercomputing, Kluwer Acdemic Publishers, Dordrecht, Netherlands, 1995, pp. 253-276.
Ling et al., “WASMII: A Multifunction Programmable Logic Device (MPLD) with Data Driven Control,” The Transactions of the Institute of Electronics, Information and Communication Engineers, Apr. 25, 1994, vol. J77-D-1, Nr. 4, pp. 309-317.
Mano, M.M., “Digital Design,” by Prentice Hall, Inc., Englewood Cliffs, New Jersey 07632, 1984, pp. 119-125, 154-161.
Margolus, N., “An FPGA architecture for DRAM-based systolic computations,” Boston University Center for Computational Science and MIT Artificial Intelligence Laboratory, IEEE 1997, pp. 2-11.
Marshall et al., “A Reconfigurable Arithmetic Array for Multimedia Applications,” FPGA '99 Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays, 10 pages.
Maxfield,C., “Logic that Mutates While-U-Wait,” EDN (Bur. Ed) (USA), EDN (European Edition), Nov. 7, 1996, Cahners Publishing, USA, pp. 137-140, 142.
Mei, Bingfeng, “A Coarse-Grained Reconfigurable Architecture Template and Its Compilation Techniques,” Katholeike Universiteit Leuven, PhD Thesis, Jan. 2005, IMEC vzw, Universitair Micro-Electronica Centrum, Belgium, pp. 1-195 (and Table of Contents).
Mei, Bingfeng et al., “Design and Optimization of Dynamically Reconfigurable Embedded Systems,” IMEC vzw, 2003, Belgium, 7 pages, http://www.imec.be/reconfigurable/pdf/ICERSA—01—design.pdf.
Mei, Bingfeng et al., “Adres: An Architecture with Tightly Coupled VLIW Processor and Coarse-Grained Reconfigurable Matrix,” Proc. Field-Programmable Logic and Applications (FPL 03), Springer, 2003, pp. 61-70.
Melvin, Stephen et al., “Hardware Support for Large Atomic Units in Dynamically Scheduled Machines,” Computer Science Division, University of California, Berkeley, IEEE (1988), pp. 60-63.
Miller, M.J., et al., “High-Speed FIFOs Contend with Widely Differing Data Rates: Dual-port RAM Buffer and Dual-pointer System Provide Rapid, High-density Data Storage and Reduce Overhead,” Computer Design, Sep. 1, 1985, pp. 83-86.
Mirsky, E. DeHon, “MATRIX: A Reconfigurable Computing Architecture with Configurable Instruction Distribution and Deployable Resources,” Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines, 1996, pp. 157-166.
Miyamori, T., et al., “REMARC: Reconfigurable Multimedia Array Coprocessor,” Computer Systems Laboratory, Stanford University, IEICE Transactions on Information and Systems E Series D, 1999; (abstract): Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays, p. 261, Feb. 22-25, 1998, Monterey, California, United States, pp. 1-12.
Moraes, F., et al., “A Physical Synthesis Design Flow Based on Virtual Components,” XV Conference on Design of Circuits and Integrated Systems (Nov. 2000) 6 pages.
Muchnick, S., “Advanced Compiler Design and Implementation,” (Morgan Kaufmann 1997), Table of Contents, 11 pages.
Murphy, C., “Virtual Hardware Using Dynamic Reconfigurable Field Programmable Gate Arrays,” Engineering Development Centre, Liverpool John Moores University, UK, GERI Annual Research Symposium 2005, 8 pages.
Myers, G. “Advances in Computer Architecture,” Wiley-Interscience Publication, 2nd ed., John Wiley & Sons, Inc., 1978, pp. 463-494.
Nageldinger, U., “Design-Space Exploration for Coarse Grained Reconfigurable Architectures,” (Dissertation) Universitaet Kaiserslautern, 2000, Chapter 2, pp. 19-45.
Neumann, T., et al., “A Generic Library for Adaptive Computing Environments,” Field Programmable Logic and Applications, 11th International Conference, FPL 2001, Proceedings (Lecture Notes in Computer Science, vol. 2147) (2001) pp. 503-512.
Nilsson, et al., “The Scalable Tree Protocol—A Cache Coherence Approaches for Large-Scale Multiprocessors,” IEEE, pp. 498-506, Dec. 1992.
Norman, R.S., “Hyperchip Business Summary, The Opportunity,” Jan. 31, 2000, pp. 1-3.
Ohmsha, “Information Processing Handbook,” edited by the Information Processing Society of Japan, pp. 376, Dec. 21, 1998.
Olukotun, K., “The Case for a Single-Chip Microprocessor,” ACM Sigplan Notices, ACM, Association for Computing Machinery, New York, vol. 31, No. 9, Sep. 1996 pp. 2-11.
Ozawa, Motokazu et al., “A Cascade ALU Architecture for Asynchronous Super-Scalar Processors,” IEICE Transactions on Electronics, Electronics Society, Tokyo, Japan, vol. E84-C, No. 2, Feb. 2001, pp. 229-237.
PACT Corporation, “The XPP Communication System,” Technical Report 15 (2000), pp. 1-16.
Parhami, B., “Parallel Counters for Signed Binary Signals,” Signals, Systems and Computers, 1989, Twenty-Third Asilomar Conference, vol. 1, pp. 513-516.
PCI Local Bus Specification, Production Version, Revision 2.1, Portland, OR, Jun. 1, 1995, pp. 1-281.
Piotrowski, A., “IEC-BUS, Die Funktionsweise des IEC-Bus unde seine Anwendung in Geräten and Systemen,” 1987, Franzis-Verlag GmbH, München, pp. 20-25. [English Abstract Provided].
Pirsch, P. et al., “VLSI implementations of image and video multimedia processing systems,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 8, No. 7, Nov. 1998, pp. 878-891.
Pistorius et al., “Generation of Very Large Circuits to Benchmark the Partitioning of FPGAs,” Monterey, CA, ACM 1999, pp. 67-73.
Price et al., “Debug of Reconfigurable Systems,” Xilinx, Inc., San Jose, CA, Proceedings of SPIE, 2000, pp. 181-187.
Quenot, G.M., et al., “A Reconfigurable Compute Engine for Real-Time Vision Automata Prototyping,” Laboratoire Systeme de Perception, DGA/Etablissement Technique Central de l'Armement, France, 1994 IEEE, pp. 91-100.
Razdan et al., A High-Performance Microarchitecture with Hardware-Programmable Functional Units, Micro-27, Proceedings of the 27th Annual International Symposium on Microarchitecture, IEEE Computer Society and Association for Computing Machinery, Nov. 30-Dec. 2, 1994, pp. 172-180.
Rotenberg, Eric., et al., “Trace Cache: a Low Latency Approach to High Bandwidth Instruction Fetching,” Proceedings of the 29th Annual International Symposium on Microarchitecture, Paris, France, IEEE (1996), 12 pages.
Saleeba, Z.M.G., “A Self-Reconfiguring Computer System,” Department of Computer Science, Monash University (Dissertation) 1998, pp. 1-306.
Salefski, B. et al., “Re-configurable computing in wireless,” Annual ACM IEEE Design Automation Conference: Proceedings of the 38th conference on Design automation (2001) pp. 178-183.
Schmidt, H. et al., “Behavioral synthesis for FGPA-based computing,” Carnegie Mellon University, Pittsburgh, PA, 1994 IEEE, pp. 125-132.
Schmidt, U. et al., “Datawave: A Single-Chip Multiprocessor for Video Applications,” IEEE Micro, vol. 11, No. 3, May/Jun. 1991, pp. 22-25, 88-94.
Schmit, et al., “Hidden Markov Modeling and Fuzzy Controllers in FPGAs, FPGAs for Custom Computing Machines,” 1995; Proceedings, IEEE Symposium in Napa Valley, CA, Apr. 1995, pp. 214-221.
Schönfeld, M., et al., “The LISA Design Environment for the Synthesis of Array Processors Including Memories for the Data Transfer and.Fault Tolerance by Reconfiguration and Coding Techniques,” J. VLSI Signal Processing Systems for Signal, Image, and Video Technology, (Oct. 1, 1995) vol. 11(1/2), pp. 51-74.
Shin, D., et al., “C-based Interactive RTL Design Methodology,” Technical Report CECS-03-42 (Dec. 2003) pp. 1-16.
Shirazi, et al., “Quantitative analysis of floating point arithmetic on FPGA based custom computing machines,” IEEE Symposium on FPGAs for Custom Computing Machines, IEEE Computer Society Press, Apr. 19-21, 1995, pp. 155-162.
Siemers et al., “The .>S<puter: A Novel Micoarchitecture Model for Execution inside Superscalar and VLIW Processors Using Reconfigurable Hardware,” Australian Computer Science Communications, vol. 20, No. 4, Computer Architecture, Proceedings of the 3rd Australian Computer Architecture Conference, Perth, John Morris, Ed., Feb. 2-3, 1998, pp. 169-178.
Simunic, et al., Source Code Optimization and Profiling of Energy Consumation in Embedded Systems, Proceedings of the 13th International Symposium on System Synthesis, Sep. 2000, pp. 193-198.
Singh, H. et al., “MorphoSys: An Integrated Reconfigurable System for Data-Parallel Computation-Intensive Applications,” University of California, Irvine, CA. and Federal University of Rio de Janeiro, Brazil, 2000, IEEE Transactions on Computers, pp. 1-35; also published in IEEE Transactions on Computers, vol. 49, No. 5, May 2000, pp. 465-481.
Skokan, Z.E., “Programmable logic machine (A programmable cell array),” IEEE Journal of Solid-State Circuits, vol. 18, Issue 5, Oct. 1983, pp. 572-578.
Sondervan, J., “Retiming and logic synthesis,” Electronic Engineering (Jan. 1993) vol. 65(793), pp. 33, 35-36.
Soni, M., “VLSI Implementation of a Wormhole Run-time Reconfigurable Processor,” Jun. 2001, (Masters Thesis)Virginia Polytechnic Institute and State University, 88 pages.
Sueyoshi, T, “Present Status and Problems of the Reconfigurable Computing Systems Toward the Computer Evolution,” Department of Artificial Intelligence, Kyushi Institute of Technology, Fukuoka, Japan; Institute of Electronics, Information and Communication Engineers, vol. 96, No. 426, IEICE Technical Report (1996), pp. 111-119 [English Abstract Only].
Sundararajan et al., “Testing FPGA Devices Using JBits,” Proc. MAPLD 2001, Maryland, USA, Katz (ed.), Nasa, CA, 8 pages.
Sutton et al., “A Multiprocessor DSP System Using PADDI-2,” U.C. Berkeley, 1998 ACM, pp. 62-65.
Tau, E., et al., “A First Generation DPGA Implementation,” FPD'95, pp. 138-143.
Tenca, A.F., et al., “A Variable Long-Precision Arithmetic Unit Design for Reconfigurable Coprocessor Architectures,” University of California, Los Angeles, 1998, pp. 216-225.
The XPP White Paper, Release 2.1, PACT—A Technical Perspective, Mar. 27, 2002, pp. 1-27.
TMS320C54X DSP: CPU and Peripherals, Texas Instruments, 1996, 25 pages.
TMS320C54x DSP: Mnemonic Instruction Set, Texas Instruments, 1996, 342 pages.
Translation of DE 101 39 170, filed Aug. 16, 2001, by examiner in related case using Google Translate, 10 pages.
Tsutsui, A., et al., “YARDS: FPGA/MPU Hybrid Architecture for Telecommunication Data Processing,” NTT Optical Network Systems Laboratories, Japan, 1997 ACM, pp. 93-99.
Vasell et al., “The Function Processor: A Data-Driven Processor Array for Irregular Computations,” Chalmers University of Technology, Sweden, 1992, pp. 1-21.
Villasenor, et al., “Configurable Computing Solutions for Automatic Target Recognition,” IEEE, 1996 pp. 70-79.
Villasenor, et al., “Configurable Computing,” Scientific American, vol. 276, No. 6, Jun. 1997, pp. 66-71.
Villasenor, et al., “Express Letters Video Communications Using Rapidly Reconfigurable Hardware,” IEEE Transactions on Circuits and Systems for Video Technology, IEEE, Inc., NY, Dec. 1995, pp. 565-567.
Wada, et al., “A Performance Evaluation of Tree-based Coherent Distributed Shared Memory,” Proceedings of the Pacific RIM Conference on Communications, Comput and Signal Processing, Victoria, May 19-21, 1993, pp. 390-393.
Waingold, E., et al., “Baring it all to software: Raw machines,” IEEE Computer, Sep. 1997, at 86-93.
Webster's Ninth New Collegiate Dictionary, Merriam-Webster, Inc., 1990, p. 332 (definition of “dedicated”).
Weinhardt, M., “Compilation Methods for Structure-programmable Computers,” dissertation, ISBN 3-89722-011-3, 1997. [Table of Contents and English Abstract Provided].
Weinhardt, Markus et al., “Pipeline Vectorization for Reconfigurable Systems,” 1999, IEEE, pp. 52-62.
Weinhardt, Markus et al., “Pipeline Vectorization,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 20, No. 2, Feb. 2001, pp. 234-248.
Weinhardt, Markus et al., “Memory Access Optimization for Reconfigurable Systems,” IEEE Proceedings Computers and Digital Techniques, 48(3) (May 2001) pp. 1-16.
Wittig, et al., “OneChip: An FPGA Processor with Reconfigurable Logic,” IEEE, 1996, pp. 126-135.
Wolfe, M. et al., “High Performance Compilers for Parallel Computing,” (Addison-Wesley 1996) Table of Contents, 11 pages.
Wu, et al., “A New Cache Directory Scheme,” IEEE, pp. 466-472, Jun. 1996.
Xilinx, “Logic Cell Array Families: XC4000, XC4000A and XC4000H,” 1994, product description, pp. 2-7, 2-9, 2-14, 2-15, 8-16, and 9-14.
Xilinx, “The Programmable Logic Data Book,” 1994, Section 2, pp. 1-231, Section 8, pp. 1, 23-25, 29, 45-52, 169-172.
Xilinx, “Spartan and SpartanXL Families Field Programmable Gate Arrays,” Jan. 1999, Xilinx, pp. 4-3 through 4-70.
Xilinx, “XC6200 Field Programmable Gate Arrays,” Apr. 24, 1997, Xilinx product description, pp. 1-73.
Xilinx, “XC3000 Series Field Programmable Gate Arrays,” Nov. 6, 1998, Xilinx product description, pp. 1-76.
Xilinx, “XC4000E and XC4000X Series Field Programmable Gate Arrays,” May 14, 1999, Xilinx product description, pp. 1-68.
Xilinx, “Virtex-E 1.8 V Extended Memory Field Programmable Gate Arrays,” (v1.5) Jul. 17, 2002, Xilinx Production Product Specification, pp. 1-118.
Xilinx, “Virtex-E 1.8 V Extended Memory Field Programmable Gate Arrays,” (v2.2) Sep. 10, 2002, Xilinx Production Product Specification, pp. 1-52.
Xilinx, “Virtex-II and Virtex-II Pro X FPGA User Guide,” Mar. 28, 2007, Xilinx user guide, pp. 1-559.
Xilinx, “Virtex-II and Virtex-II Pro X FPGA Platform FPGAs: Complete Data Sheet,” (v4.6) Mar. 5, 2007, pp. 1-302.
Xilinx, “Virtex-II Platform FPGAs: Complete Data Sheet,” (v3.5) Nov. 5, 2007, pp. 1-226.
Xilinx, White Paper 370: (Virtex-6 and Spartan-6 FPGA Families) “Reducing Switching Power with Intelligent Clock Gating,” Frederic Rivoallon, May 3, 2010, pp. 1-5.
Xilinx, White Paper 298: (Spartan-6 and Virtex-6 Devices) “Power Consumption at 40 and 50 nm,” Matt Klein, Apr. 13, 2009, pp. 1-21.
Xu, H. et al., “Parallel QR Factorization on a Block Data Flow Architecture,” Conference Proceeding Article, Mar. 1, 1992, pp. 332-336.
Ye, Z.A. et al., “A C-Compiler for a Processor With a Reconfigurable Functional Unit,” FPGA 2000 ACM/SIGNA International Symposium on Field Programmable Gate Arrays, Monterey, CA Feb. 9-11, 2000, pp. 95-100.
Yeung, A. et al., “A data-driven architecture for rapid prototyping of high throughput DSP algorithms,” Dept. of Electrical Engineering and Computer Sciences, Univ. of California, Berkeley, USA, Proceedings VLSI Signal Processing Workshop, IEEE Press, pp. 225-234, Napa, Oct. 1992.
Yeung, A. et al., “A reconfigurable data-driven multiprocessor architecture for rapid prototyping of high throughput DSP algorithms,” Dept. of Electrical Engineering and Computer Sciences, Univ. of California, Berkeley, USA, pp. 169-178, IEEE 1993.
Zhang, et al., “Architectural Evaluation of Flexible Digital Signal Processing for Wireless Receivers, Signals, Systems and Computers,” 2000; Conference Record of the Thirty-Fourth Asilomar Conference, Bd. 1, Oct. 29, 2000, pp. 78-83.
Zhang, et al., “A 1-V Heterogeneous Reconfigurable DSP IC for Wireless Baseband Digital Signal Processing,” IEEE Journal of Solid-State Circuits, vol. 35, No. 11, Nov. 2000, pp. 1697-1704.
Zhang et al., “Abstract: Low-Power Heterogeneous Reconfigurable Digital Signal Processors with Energy-Efficient Interconnect Network,” U.C. Berkeley (2004), pp. 1-120.
Zima, H. et al., “Supercompilers for parallel and vector computers,” (Addison-Wesley 1991) Table of Contents, 5 pages.
Microsoft Press Computer Dictionary, Third Edition, Redmond, WA, 1997, 3 pages.
Microsoft Press Computer Dictionary, Second Edition, Redmond, WA, 1994, 3 pages.
A Dictionary of Computing, Fourth Edition, Oxford University Press, 1997, 4 pages.
Communications Standard Dictionary, Third Edition, Martin Welk (Ed.), Chapman & Hall, 1996, 3 pages.
Dictionary of Communications Technology, Terms Definitions and Abbreviations, Second Edition, Gilbert Held (Ed.), John Wiley & Sons, England, 1995, 5 pages.
The Random House College Dictionary, Revised Edition, Random House, Inc., 1984, 14 pages.
The Random House College Dictionary, Revised Edition, Random House, Inc., 1984, 7 pages.
Random House Webster's College Dictionary with CD-ROM, Random House, 2001, 7 pages.
Random House Webster's College Dictionary with CD-ROM, Random House, 2001, 4 pages.
Random House Personal Computer Dictionary, Second Edition, Philip E. Margolis (Ed.), Random House, New York, 1996, 5 pages.
The IEEE Standard Dictionary of Electrical and Electronics Terms, Sixth Edition, 1996, 36 pages.
The IEEE Standard Dictionary of Electrical and Electronics Terms, Sixth Edition, 1996, 8 pages.
McGraw-Hill Electronics Dictionary, Sixth Edition, Neil Sclater et al. (Ed.), McGraw-Hill, 1997, 3 pages.
Modern Dictionary of Electronics, Sixth Edition, Rudolf Graf (Ed.), Newnes (Butterwoth-Heinemann), 1997, 5 pages.
The American Heritage Dictionary, Fourth Edition, Dell (Houghton-Mifflin), 2001, 5 pages.
The American Heritage Dictionary, Second College Edition, Houghton Mifflin, 1982, 23 pages.
The American Heritage Dictionary, Second College Edition, Houghton Mifflin, 1982, 8 pages.
The American Heritage Dictionary, Third Edition, Dell Publishing (Bantam Doubleday Dell Publishing Group, Inc.), 1994, 4 pages.
The American Heritage Dictionary, Fourth Edition, Dell/Houghton Mifflin 2001, 5 pages.
Webster's New Collegiate Dictionary, Merriam Co., 1981, 5 pages.
Webster's New Collegiate Dictionary, Merriam Co., 1981, 4 pages.
The Oxford American Dictionary and Language Guide, Oxford University Press, 1999, 5 pages.
The Oxford Duden German Dictionary, Edited by the Dudenredaktion and the German Section of the Oxford University Press, W. Scholze-Stubenrecht et al. (Eds), Clarendon Press, Oxford, 1990, 7 pages.
Oxford Dictionary of Computing, Oxford University Press, 2008, 4 pages.
Modern Dictionary of Electronics, Sixth Edition Revised and Updated, Rudolf F. Graf (Ed.), Butterworth-Heinemann, 1997, 7 pages.
Modern Dictionary of Electronics, Sixth Edition Revised and Updated, Rudolf F. Graf (Ed.), Butterworth-Heinemann, 1997, 5 pages.
Garner's Modern American Usage, Bryan A. Garner (Ed.), Oxford University Press, 2003, 3 pages.
The New Fowler's Modern English Usage, R.W. Burchfield (Ed.), Oxford University Press, 2000, 3 pages.
Wikipedia, the free encyclopedia, “Granularity,” at http://en.wikipedia.org/wiki/Granularity, Jun. 18, 2010, 4 pages.
Wordsmyth, The Premier Educational Dictionary—Thesaurus, at http://www.wordsmyth.net, “communication,” Jun. 18, 2010, 1 page.
Yahoo! Education, “affect,” at http://education.yahoo.com/reference/dictionary/entry/affect, Jun. 18, 2010, 2 pages.
mPulse Living Language, “high-level,” at http://www.macmillandictionary.com/dictionary/american/high-level, Jun. 18, 2010, 1 page.
MSN Encarta, “regroup,” at http://encarta.msn.com/encnet/features/dictionary/DictionaryResults.aspx?lextype=3&search=regroup, Jun. 17, 2010, 2 pages.
MSN Encarta, “synchronize,” at http://encarta.msn.com/encnet/features/dictionary/DictionaryResults.aspx?lextype=3&search=synchronize, Jun. 17, 2010, 2 pages.
MSN Encarta, “pattern,” at http://encarta.msn.com/encnet/features/dictionary/DictionaryResults.aspx?lextype=3&search=pattern, Jun. 17, 2010, 2 pages.
MSN Encarta, “dimension,” at http://encarta.msn.com/encnet/features/dictionary/DictionaryResults.aspx?lextype=3&search=dimension, Jun. 17, 2010, 2 pages.
MSN Encarta, “communication,” at http://enearta.msn.com/encnet/features/dictionary/DictionaryResults.aspx?lextype=3&search=communication, Jun. 17, 2010, 2 pages.
MSN Encarta, “arrangement,” at http://encarta.msn.com/encnet/features/dictionary/DietionaryResults.aspx?lextype=3&search=arrangement , Jun. 17, 2010, 2 pages.
MSN Encarta, “vector,” at http://encarta.msn.com/encnet/features/dictionary/DictionaryResults.aspx?lextype=3&search=vector, Jul. 30, 2010, 2 pages.
Dictionary.com, “address,” at http://dictionary.reference.com/browse/address, Jun. 18, 2010, 4 pages.
P.R . 4-3 Joint Claim Constructions Statement, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc et al., E.D. Texas, 2:07-cv-00563-CE, Jul. 19, 2010, pp. 1-50.
Order Granting Joint Motion for Leave to File an Amended Joint Claim Construction and Prehearing Statement and Joint Motion to File an Amended Joint Claim Construction and Prehearing Statement Pursuant to Local Patent Rule 4-3, and Exhibit A: P.R. 4-3 Amended Joint Claim Constructions Statement, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Aug. 2, 2010, 72 pages.
P.R. 4-3 Amended Joint Claim Constructions Statement, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Aug. 3, 2010, pp. 1-65.
Exhibit A—P.R. 4-3 Amended Joint Claim Constructions Statement, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Aug. 2, 2010, pp. 1-66.
PACT's Opening Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc., E.D. Texas, 2:07-cv-00563-CE, Nov. 1, 2010, pp. 1-55.
Declaration of Harry L. (Nick) Tredennick in Support of PACT's Claim Constructions, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Nov. 1, 2010, pp. 1-87.
Transcript of Harry (Nick) L. Tredennick III, Ph.D., Oct. 11, 2010, vol. 1, Exhibit 16 of PACT's Opening Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Nov. 1, 2010, pp. 1-3.
Agreed and Disputed Terms, Exhibit 17 of PACT's Opening Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Nov. 1, 2010, pp. 1-16.
Oral Videotaped Deposition—Joseph McAlexander dated Oct. 12, 2010, vol. 1, Exhibit 18 of PACT's Opening Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Nov. 1, 2010, pp. 1-17.
Expert Report of Joe McAlexander Re Claim Construction dated Sep. 27, 2010, Exhibit 19 of PACT's Opening Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Nov. 1, 2010, pp. 1-112.
Documents from File History of U.S. Appl. No. 09/290,342, filed Apr. 12, 1999, Exhibit 20 of PACT's Opening Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E D. Texas, 2:07-cv-00563-CE, Nov. 1, 2010, pp. 1-37.
Amendment from File History of U.S. Appl. No. 10/156,397, filed May 28, 2002, Exhibit 25 of PACT's Opening Claim Construction Brief, PACT XXP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Nov. 1, 2010, pp. 1-12.
Documents from File History U.S. Appl. No. 09/329,132, filed Jun. 9, 1999, Exhibit 27 of PACT's Opening Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Nov. 1, 2010, pp. 1-36.
Amendment from File History of U.S. Appl. No. 10/791,501, filed Mar. 1, 2004, Exhibit 39 of PACT's Opening Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Nov. 1, 2010, pp. 1-9.
Amendment from File History of U.S. Appl. No. 10/265,846, filed Oct. 7, 2002, Exhibit 40 of PACT's Opening Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Nov. 1, 2010, pp. 1-12.
Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, pp. 1-55.
Declaration of Aaron Taggart in Support of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief (Exhibit A), PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, pp. 1-5.
Oral Videotaped Deposition Joseph McAlexander (Oct. 12, 2010), Exhibit 1 of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, pp. 1-9.
Expert Report of Joe McAlexander re Claim Construction, Exhibit 2 of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, pp. 1-137.
Various Documents from File History of U.S. Appl. No. 09/290,342, filed Apr. 12, 1999, Exhibit 6 of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, pp. 1-181.
Transcript of Harry (Nick) L. Tredennick III, Ph.D., Oct. 11, 2010, vol. 1, Exhibit 7 of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, pp. 1-28.
Amendment, Response from File History of U.S. Appl. No. 10/156,397, filed May 28, 2002, Exhibit 15 of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, pp. 1-137.
Application from File History of U.S. Appl. No. 08/544,435, filed Nov. 17, 1995, Exhibit 20 of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, pp. 1-102.
Documents from File History of U.S. Appl. No. 09/329,132, filed Jun. 9, 1999, Exhibit 24 of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010 , pp. 1-13.
Documents from File History of U.S. Appl. No. 10/791,501, filed Mar. 1, 2004, Exhibit 25 of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, pp. 1-14.
Amendment from File History of U.S. Appl. No. 11/246,617, filed Oct. 7, 2005, Exhibit 26 of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, pp. 1-9.
Documents from File History of U.S. Appl. No. 08/947,254, filed Oct. 8, 1997, Exhibit 27 of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, pp. 1-38.
Documents from File History of U.S. Appl. No. 08/947,254, filed Oct. 8, 1997, specifically, German priority application specification [English translation provided], Exhibit 33 of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PAXT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, 54 pages [including English translation].
Documents from File History of U.S. Appl. No. 09/335,974, filed Jun. 18, 1999, Exhibit 28 of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, pp. 1-32.
Documents from File History of U.S. Patent Reexamination Control No. 90/010,450, filed Mar. 27, 2009, Exhibit 30 of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, pp. 1-71.
Documents from File History of U.S. Appl. No. 10/265,846, filed Oct. 7, 2002, Exhibit 32 of Defendants Xilinx, Inc. and Avnet, Inc.'s Responsive Claim Construction Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Dec. 6, 2010, pp. 1-23.
PACT's Claim Construction Reply Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Jan. 7, 2011, pp. 1-20.
Defendants Xilinx, Inc. and Avnet, Inc.'s Claim Construction Surreply Brief, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Jan. 18, 2011, 142 pages.
Markman Hearing Minutes and Attorney Sign-In Sheet, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Feb. 22, 2011, 3 pages; and court transcript, 245 pages.
Memorandum Opinion and Order, PACT XPP Technologies, AG v. Xilinx, Inc. and Avnet, Inc. et al., E.D. Texas, 2:07-cv-00563-CE, Jun. 17, 2011, pp. 1-71.
Atmel Corporation, Atmel 5-K-50K Gates Coprocessor FPGA and FreeRAM, (www.atmel.com), Apr. 2002 , pp. 1-68.
Glaskowsky, Peter N., “PACT Debuts Extreme Processor; Reconfigurable ALU Array Is Very Powerful—and Very Complex,” Microprocessor, The Insider's Guide to Microprocessor Hardware, MicroDesign Resources—Microprocessor Report, Oct. 9, 2000 (www.MPRonline.com), 6 pages.
Glaskowsky, Peter N., “Analysis' Choice Nominees Named; Our Picks for 2002's Most Important Products and Technologies,” Microprocessor, The Insider's Guide to Microprocessor Hardware, MicroDesign Resources—Microprocessor Report, Dec. 9, 2002 (www.MPRonline.com), 4 pages.
Lattice Semiconductor Corporation, “ispLSI 2000E, 2000VE and 2000 VL Family Architectural Description,” Oct. 2001, pp. 1-88.
Olukotun, K. et al., “Rationale, Design and Performance of the Hydra Multiprocessor,” Computer Systems Laboratory, Stanford University, CA, Nov. 1994, pp. 1-19.
PACT Corporate Backgrounder, PACT company release, Oct. 2008, 4 pages.
Page, Ian., “Reconfigurable processor architectures,” Oxford University Computing Laboratory, Oxford UK, Elsevier Science B.V., Microprocessors an Microsystems 20 (1996) pp. 185-196.
Singh, Hartej et al., “Morpho-Sys: A Reconfigurable Architecture for Multimedia Applications,” Univ. of California, Irvine, CA and Federal University of Rio de Janiero, Brazil, at http://www.eng.uci.edu/morphosys/docs/sbcci98.html, Jun. 18, 2010, 10 pages.
Theodoridis, G. et al., “Chapter 2—A Survey of Coarse-Grain Reconfigurable Architectures and Cad Tools, Basic Definitions, Critical Design Issues and Existing Coarse-grain Reconfigurable Systems,” from S. Vassiliadis, and D. Soudris (eds.) Fine- and Coarse-Grained Reconfigurable Computing, Springer 2007, pp. 89-149.
Weinhardt, Markus et al., “Using Function Folding to Improve Silicon Efficiency of Reconfigurable Arithmetic Arrays,” PACT XPP Technologies AG, Munich, Germany, IEEE 2004, pp. 239-245.
Xilinx, XC6200 Field Programmable Gate Arrays, Advance Product Specification, Jun. 1, 1996 (Version 1.0), pp. 4-255 through 4-286.
Xilinx, Virtex-II Platform FPGA User Guide, UG002 (V2.1) Mar. 28, 2007, pp. 1-502 [Parts 1-3].
Xilinx, XC4000E and SC4000X Serial Field Programmable Gate Arrays, Product Specification (Version 1.6), May 14, 1999, pp. 1-107.
Agarwal, A., et al., “APRIL: A Processor Architecture for Multiprocessing,” Laboratory for Computer Science, MIT, Cambridge, MA, IEEE 1990, pp. 104-114.
Almasi and Gottlieb, Highly Parallel Computing, The Benjamin/Cummings Publishing Company, Inc., Redwood City, CA, 1989, 3 pages (Fig. 4.1).
Advanced RISC Machines Ltd (ARM), “AMBA—Advanced Microcontroller Bus Architecture Specification,” (Document No. ARM IHI 0001C), Sep. 1995, 72 pages.
Alfke, Peter; New, Bernie, Xilinx Application Note, “Additional XC3000 Data,” XAPP 024.000, 1994, pp. 8-11 through 8-20.
Alfke, Peter; New, Bernie, Xilinx Application Note, “Adders, Subtracters and Accumulators in XC3000,” XAPP 022.000, 1994, pp. 8-98 through 8-104.
Alfke, Peter, Xilinx Application Note, “Megabit FIFO in Two Chips: One LCA Device and One DRAM,” XAPP 030.000, 1994, pp. 8-148 through 8-150.
Alfke, Peter, Xilinx Application Note, “Dynamic Reconfiguration,” XAPP 093, Nov. 10, 1997, pp. 13-45 through 13-46.
Alfke, Peter; New, Bernie, Xilinx Application Note, “Implementing State Machines in LCA Devices,” XAPP 027.001, 1994, pp. 8-169 through 8-172.
Algotronix, Ltd., CAL64K Preliminary Data Sheet, Apr. 1989, pp. 1-24.
Algotronix, Ltd., CAL4096 Datasheet, 1992, pp. 1-53.
Algotronix, Ltd., CHS2x4 User Manual, “CHA2x4 Custom Computer,” 1991, pp. 1-38.
Allaire, Bill; Fischer, Bud, Xilinx Application Note, “Block Adaptive Filter,” XAPP 055, Aug. 15, 1996 (Version 1.0), pp. 1-10.
Altera Application Note (73), “Implementing FIR Filters in FLEX Devices,” Altera Corporation, Feb. 1998, ver. 1.01, pp. 1-23.
Athanas, P. (Thesis), “An adaptive machine architecture and compiler for dynamic processor reconfiguration,” Brown University 1992, pp. 1-157.
Berkeley Design Technology, Inc., Buyer's Guide to DSP Processors, 1995, Fremont, CA., pp. 673-698.
Bittner, R. et al., “Colt: An Experiment in Wormhole Run-Time Reconfiguration,” Bradley Department of Electrical and Computer Engineering, Blacksburg, VA, SPIE—International Society for Optical Engineering, vol. 2914/187, Nov. 1996, Boston, MA, pp. 187-194.
Camilleri, Nick; Lockhard, Chris, Xilinx Application Note, “Improving XC4000 Design Performance,” XAPP 043.000, 1994, pp. 8-21 through 8-35.
Cartier, Lois, Xilinx Application Note, “System Design with New XC4000EX I/O Features,” Feb. 21, 1996, pp. 1-8.
Chen, D., (Thesis) “Programmable arithmetic devices for high speed digital signal processing,” U. California Berkeley 1992, pp. 1-175.
Churcher, S., et al., “The XC6200 FastMap TM Processor Interface,” Xilinx, Inc., Aug. 1995, pp. 1-8.
Cowie, Beth, Xilinx Application Note, “High Performance, Low Area, Interpolator Design for the XC6200,” XAPP 081, May 7, 1997 (Version 1.0), pp. 1-10.
Duncan, Ann, Xilinx Application Note, “A32x16 Reconfigurable Correlator for the XC6200,” XAPP 084, Jul. 25, 1997 (Version 1.0), pp. 1-14.
Ebeling, C., et al., “RaPiD—Reconfigurable Pipelined Datapath,” Dept. of Computer Science and Engineering, U. Washington, 1996, pp. 126-135.
Epstein, D., “IBM Extends DSP Performance with Mfast—Powerful Chip Uses Mesh Architecture to Accelerate Graphics, Video,” 1995 MicroDesign Resources, vol. 9, No. 16, Dec. 4, 1995, pp. 231-236.
Fawcett, B., “New SRAM-Based FPGA Architectures Address New Applications,” Xilinx, Inc. San Jose, CA, Nov. 1995, pp. 231-236.
Goslin, G; Newgard, B, Xilinx Application Note, “16-Tap, 8-Bit FIR Filter Applications Guide,” Nov. 21, 1994, pp. 1-5.
Iwanczuk, Roman, Xilinx Application Note, “Using the XC4000 RAM Capability,” XAPP 031.000, 1994, pp. 8-127 through 8-138.
Knapp, Steven, “Using Programmable Logic to Accelerate DSP Functions,” Xilinx, Inc., 1995, pp. 1-8.
New, Bernie, Xilinx Application Note, “Accelerating Loadable Counters in SC4000,” XAPP 023.001, 1994, pp. 8-82 through 8-85.
New, Bernie, Xilinx Application Note, “Boundary Scan Emulator for XC3000,” XAPP 007.001, 1994, pp. 8-53 through 8-59.
New, Bernie, Xilinx Application Note, “Ultra-Fast Synchronous Counters,” XAPP 014.001, 1994, pp. 8-78 through 8-81.
New, Bernie, Xilinx Application Note, “Using the Dedicated Carry Logic in XC4000,” XAPP 013.001, 1994, pp. 8-105 through 8-115.
New, Bernie, Xilinx Application Note, “Complex Digital Waveform Generator,” XAPP 008.002, 1994, pp. 8-163 through 8-164.
New, Bernie, Xilinx Application Note, “Bus-Structured Serial Input-Output Device,” XAPP 010.001, 1994, pp. 8-181 through 8-182.
Ridgeway, David, Xilinx Application Note, “Designing Complex 2-Dimensional Convolution Filters,” XAPP 037.000, 1994, pp. 8-175 through 8-177.
Rowson, J., et al., “Second-generation compilers optimize semicustom circuits,” Electronic Design, Feb. 19, 1987, pp. 92-96.
Schewel, J., “A Hardware/Software Co-Design System using Configurable Computing Technology,” Virtual Computer Corporation, Reseda, CA, IEEE 1998, pp. 620-625.
Segers, Dennis, Xilinx Memorandum, “MIKE—Product Description and MRD,” Jun. 8, 1994, pp. 1-29.
Texas Instruments, “TMS320C8x System-Level Synopsis,” Sep. 1995, 75 pages.
Texas Instruments, “TMS320C80 Digital Signal Processor,” Data Sheet, Digital Signal Processing Solutions 1997, 171 pages.
Texas Instruments, “TMS320C80 (MVP) Parallel Processor,” User's Guide, Digital Signal Processing Products 1995, 73 pages.
Trainor, D.W., et al., “Implementation of the 2D DCT Using a Xilinx XC6264 FPGA,” 1997, IEEE Workshop of Signal Processing Systems SiPS 97, pp. 541-550.
Trimberger, S, (Ed.) et al., “Field-Programmable Gate Array Technology,” 1994, Kluwer Academic Press, pp. 1-258 (and the Title Page, Table of Contents, and Preface) [274 pages total].
Trimberger, S., “A Reprogrammable Gate Array and Applications,” IEEE 1993, Proceedings of the IEEE, vol. 81, No. 7, Jul. 1993, pp. 1030-1041.
Trimberger, S., et al., “A Time-Multiplexed FPGA,” Xilinx, Inc., 1997 IEEE, pp. 22-28.
Ujvari, Dan, Xilinx Application Note, “Digital Mixer in an XC7272,” XAPP 035.002, 1994, p. 1.
Veendrick, H., et al., “A 1.5 GIPS video signal processor (VSP),” Philips Research Laboratories, The Netherlands, IEEE 1994 Custom Integrated Circuits Conference, pp. 95-98.
Wilkie, Bill, Xilinx Application Note, “Interfacing XC6200 to Microprocessors (TMS320C50 Example),” XAPP 064, Oct. 9, 1996 (Version 1.1), pp. 1-9.
Wilkie, Bill, Xilinx Application Note, “Interfacing XC6200 to Microprocessors (MC68020 Example),” XAPP 063, Oct. 9, 1996 (Version 1.1), pp. 1-8.
XCELL, Issue 18, Third Quarter 1995, “Introducing three new FPGA Families!”; “Introducing the XC6200 FPGA Architecture: The First FPGA Architecture Optimized for Coprocessing in Embedded System Applications,” 40 pages.
Xilinx Application Note, Advanced Product Specification, “XC6200 Field Programmable Gate Arrays,” Jun. 1, 1996 (Version 1.0), pp. 4-253-4-286.
Xilinx Application Note, “A Fast Constant Coefficient Multiplier for the XC6200,” XAPP 082, Aug. 24, 1997 (Version 1.0), pp. 1-5.
Xilinx Technical Data, “XC5200 Logic Cell Array Family,” Preliminary (v1.0), Apr. 1995, pp. 1-43.
Xilinx Data Book, “The Programmable Logic Data Book,” 1996, 909 pages.
Xilinx, Series 6000 User's Guide, Jun. 26, 1997, 223 pages.
Yeung, K., (Thesis) “A Data-Driven Multiprocessor Architecture for High Throughput Digital Signal Processing,” Electronics Research Laboratory, U. California Berkeley, Jul. 10, 1995, pp. 1-153.
Yeung, L., et al., “A 2.4GOPS Data-Driven Reconfigurable Multiprocessor IC for DSP,” Dept. of EECS, U. California Berkeley, 1995 IEEE International Solid State Circuits Conference, pp. 108-110.
ZILOG Preliminary Product Specification, “Z86C95 CMOS Z8 Digital Signal Processor,” 1992, pp. 1-82.
ZILOG Preliminary Product Specification, “Z89120 Z89920 (ROMless) 16-Bit Mixed Signal Processor,” 1992, pp. 1-82.
Defendants' Invalidity Contentions in PACT XPP Technologies, AG v. XILINX, Inc., et al., (Ed. Texas Dec. 28, 2007) (No. 2:07cv563)., including Exhibits A through K in separate PDF files.
Ramanathan et al., “Reconfigurable Filter Coprocessor Architecture for DSP Applications,” Journal of VLSI Signal Processing, 2000, vol. 26, pp. 333-359.
Shanley, Tom, Pentium Pro and Pentium II System Architecture, MindShare, Inc., Addition Wesley, 1998, Second Edition, pp. 11-17; Chapter 7; Chapter 10; pp. 209-211, and p. 394.
Shoup, Richard, “Programmable Cellular Logic Arrays,” Dissertation, Computer Science Department, Carnegie-Mellon University, Mar. 1970, 193 pages.
Zucker, Daniel F., “A Comparison of Hardware Prefetching Techniques for Multimedia Benchmarks,” Technical Report: CSL-TR-95-683, Dec. 1995, 26 pages.
Coelho, F., “Compiling dynamic mappings with array copies,” Jul. 1997, 12 pages, http://delivery.acm.org/10.1145/270000/263786/p168-coehlo.pdf.
Janssen et al., “A Specification Invariant Technique for Regularity Improvement between Flow-Graph Clusters,” Mar. 1996, 6 pages, http://delivery.acm.org/10.1145/790000/787534/74230138.pdf.
Microsoft Press Computer Dictionary, Second Edition, 1994, Microsoft Press, ISBN 1-55615-597-2, p. 10.
Newton, Harry, “Newton's Telecom Dictionary,” Ninteenth Edition, 2003, CMP Books, p. 40.
Rehmouni et al., “Formulation and evaluation of scheduling techniques for control flow graphs,” Dec. 1995, 6 pages, http://delivery.acm.org/10.1145/230000/224352/p386-rahmouni.pdf.
Sinha et al., “System-dependence-graph-based slicing of programs with arbitrary interprocedural control flow,” May 1999, 10 pages, http://delivery.acm.org./10.1145/310000/203675/p432-sinha.pdf.
Stallings, William, “Data & Computer Communications,” Sixth Edition, Jun. 2000, Prentice-Hall, Inc., ISBN 0-084370-9, pp. 195-196.
Related Publications (1)
Number Date Country
20120079327 A1 Mar 2012 US
Continuations (2)
Number Date Country
Parent 12354590 Jan 2009 US
Child 13279561 US
Parent 10487687 US
Child 12354590 US