Method of depositing copper using physical vapor deposition

Information

  • Patent Grant
  • 8791018
  • Patent Number
    8,791,018
  • Date Filed
    Tuesday, December 19, 2006
    17 years ago
  • Date Issued
    Tuesday, July 29, 2014
    10 years ago
Abstract
The present method of forming an electronic structure includes providing a tantalum base layer and depositing a layer of copper on the tantalum layer, the deposition being undertaken by physical vapor deposition with the temperature of the base layer at 50° C. or less, with the deposition taking place at a power level of 300 W or less.
Description
BACKGROUND OF THE INVENTION

1. Technical Field


This invention relates generally to formation of layers on a semiconductor device, and more particularly, to formation of a thin copper layer.


2. Background Art


A common technique for forming device layers of various materials is PVD (Physical Vapor Deposition). With reference to FIGS. 1 and 2, the PVD process is a well-known magnetron sputtering process for depositing a layer on a substrate 20 supported on a platform 21. Typically (FIG. 1), a target 22 of the desired layer material is provided inside a vacuum chamber 24, and argon gas is introduced into the chamber 24 and is ionized to a positive charge (26). The target 22 is held at negative, while the wall of the chamber 24 is grounded, so that DC power is applied to add energy to the argon gas ions 26, causing the ions 26 to be accelerated toward the target 22. During the acceleration, the ions 26 gain momentum and strike the target 22. This causes atoms or molecules 28 of the target 22 to scatter in the chamber 24, with some being deposited on the substrate 20.


Formation of a thin (for example 20 angstroms thick), continuous copper layer on an oxide layer of a wafer using a copper target 29) has proven problematical. When using conventional PVD processes to form such a thin copper layer (containing only a small amount of copper) on an oxide such as SiO2 30, high surface tension causes the copper to form in large separate grains/crystals during the initial deposition, to minimize surface energy (so-called island growth). This island growth causes the deposited copper to agglomerate into distinct, separate copper globules 32 (FIG. 2) rather than a smooth, continuous, uniform layer as is desired.


What is needed is an approach wherein a smooth, continuous, uniform, thin copper layer may be formed.


DISCLOSURE OF THE INVENTION

Broadly stated, the present method of forming an electronic structure comprises providing a base layer and depositing a layer of copper by physical vapor deposition with the temperature of the base layer at 50° C. or less, with the deposition taking place at a power level of 300 W or less.


The present invention is better understood upon consideration of the detailed description below, in conjunction with the accompanying drawings. As will become readily apparent to those skilled in the art from the following description, there is shown and described an embodiment of this invention simply by way of the illustration of the best mode to carry out the invention. As will be realized, the invention is capable of other embodiments and its several details are capable of modifications and various obvious aspects, all without departing from the scope of the invention. Accordingly, the drawings and detailed description will be regarded as illustrative in nature and not as restrictive.





BRIEF DESCRIPTION OF THE DRAWINGS

The novel features believed characteristic of the invention are set forth in the appended claims. The invention itself, however, as well as said preferred mode of use, and further objects and advantages thereof, will best be understood by reference to the following detailed description of an illustrative embodiment when read in conjunction with the accompanying drawings, wherein:



FIGS. 1 and 2 illustrate a typical prior approach in using PVD to deposit copper;



FIG. 3-8 illustrate the present approach in using PVD to deposit copper; and



FIG. 9-11 are systems incorporating devices formed using the present method.





BEST MODE(S) FOR CARRYING OUT THE INVENTION

Reference is now made in detail to a specific embodiment of the present invention which illustrates the best mode presently contemplated by the inventors for practicing the invention.


In the present approach, in forming an electronic structure, prior to the formation of a copper layer, a base layer of tantalum 40 is deposited on an oxide layer, for example an SiO2 layer 42 of a wafer 44 on platform 45 (FIG. 3). This process is undertaken in a deposition chamber 46 (internal temperature approximately 30° C.) using conventional DC magnetron PVD techniques. That is, a target 48 of tantalum is provided inside chamber 46, and argon gas is introduced into the chamber 46 and is ionized to a positive charge (50). The target 48 is held at negative, while the wall of the chamber 46 is grounded, so that DC power is applied to add energy to the argon gas ions 50, causing the ions 50 to be accelerated toward the target 48. During the acceleration, the ions 50 gain momentum and strike the target 48. This causes tantalum atoms 52 of the target 48 to scatter in the chamber 46, with some being deposited on the oxide layer 42 of the wafer 44 to form tantalum layer 40. The striking of the tantalum target material 48 with argon ions 50 causes the tantalum target material 48 to greatly increase in temperature, causing the temperature of the deposited tantalum layer 40 to be at a greatly elevated temperature (for example 200° C.).


The resulting wafer 44 with tantalum 40 thereon, which is at the above described elevated temperature of approximately 200° C., is immediately transferred to another DC magnetron sputtering chamber 54, so as to avoid contamination (FIG. 4), placed on platform 55 therein (internal temperature of chamber 54 approximately 30° C.) for formation of a thin copper layer on the tantalum layer 40, by means of a copper target 56 as will be shown and described.


Immediately after the wafer 44 with tantalum layer 40 thereon enters the chamber 54, a pump-down step is undertaken to provide a vacuum in the chamber 54 (FIG. 5). This step takes only a short time (in this example 3 seconds) and so the temperature of the tantalum layer 40 remains near 200° C.


After this step is completed, a cooling step is undertaken, with argon gas flowing (FIG. 6), but without DC power. This step is undertaken for an extended period of time, in this embodiment 60 seconds, with argon gas flowing to the backside of the wafer 44 to effectively cool down the wafer 44 and tantalum layer 40. so that the tantalum layer 40 cools down to 50° C. or less, preferably to approximately 30° C., the internal temperature of the chamber 54.


After this step is completed, a deposition step is undertaken (FIG. 7), with low DC power applied (300 w or less, in this embodiment 200 W). Argon gas continues to be introduced into the chamber 54 to establish a pressure of approximately 5 mTorr, and is ionized to a positive charge (58). The pressure and DC power level are carefully selected in order to obtain a stable plasma. The target 56 is held at negative, while the wall of the chamber 54 is grounded, so that DC power is applied to add energy to the argon gas ions 58, causing the ions 58 to be accelerated toward the target 56. During the acceleration, the ions 58 gain momentum and strike the copper target 56. This causes atoms 60 of copper to scatter in the chamber 54, with some being deposited on the tantalum layer 40. This deposition step is undertaken in this example for 10 seconds.


The tantalum layer 40 at low temperature promotes smooth copper nucleation across the surface of the tantalum layer 40, avoiding the island growth described above. In addition, the low temperature of the tantalum layer 40 along with low power applied during the deposition step (for example 200 W rather than for example 800 W or more as previously applied) promotes formation of a smooth, continuous, uniform, thin copper layer 62 (30 angstroms or less, in this embodiment approximately 20 angstroms) on the tantalum layer 40 by avoiding formation of globules thereof as described thereof.


Finally, another pump-down step is undertaken (FIG. 8).


The present method succeeds in forming a thin, uniform smooth, continuous copper layer 62.



FIG. 9 illustrates a system 200 utilizing devices formed using the method described above. As shown therein, the system 200 includes hand-held devices in the form of cell phones 202, which communicate through an intermediate apparatus such as a tower 204 (shown) and/or a satellite. Signals are provided from one cell phone to the other through the tower 204. Such a cell phone 202 with advantage uses devices formed as shown and described. One skilled in the art will readily understand the advantage of using such devices in other hand-held devices which utilize data storage, such as portable media players, personal digital assistants, digital cameras and the like.



FIG. 10 illustrates another system 300 utilizing devices formed using the method described above. The system 300 includes a vehicle 302 having an engine 304 controlled by an electronic control unit 306. The electronic control unit 306 with advantage uses such devices.



FIG. 11 illustrates yet another system 400 utilizing devices formed using the method described above. This system 400 is a computer 402 which includes an input in the form of a keyboard, and a microprocessor for receiving signals from the keyboard through an interface. The microprocessor also communicates with a CDROM drive, a hard drive, and a floppy drive through interfaces. Output from the microprocessor is provided to a monitor through an interface. Also connected to and communicating with the microprocessor is memory which may take the form of ROM, RAM, flash and/or other forms of memory. The system with advantage uses such devices.


The foregoing description of the embodiment of the invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed. Other modifications or variations are possible in light of the above teachings.


The embodiment was chosen and described to provide the best illustration of the principles of the invention and its practical application to thereby enable one of ordinary skill of the art to utilize the invention in various embodiments and with various modifications as are suited to the particular use contemplated. All such modifications and variations are within the scope of the invention as determined by the appended claims when interpreted in accordance with the breadth to which they are fairly, legally and equitably entitled.

Claims
  • 1. A method of forming an electronic structure comprising: providing a planar single layered single material base layer that is formed at a single level on a layer of oxide that is formed on the surface of a wafer wherein both the planar base layer and the layer of oxide are formed at a single level from a first to a second side surface of the wafer;transferring the wafer with the layer of oxide and the base layer to a chamber, immediately after forming the base layer, to avoid contamination and to provide vacuum, wherein the base layer comprises tantalum; anddepositing a layer of copper to cover the entire surface of the planar base layer at 50° C. or less wherein the layer of copper is deposited by physical vapor deposition at a power level of 300 W or less.
  • 2. The method of claim 1 wherein the layer of copper is deposited by physical vapor deposition with the temperature of the base layer at approximately 30° C.
  • 3. The method of claim 1 wherein the layer of copper is deposited by physical vapor deposition at a power level of approximately 200 W.
  • 4. The method of claim 1 and further comprising said electronic structure incorporated in a system.
  • 5. The method of claim 4 wherein the system is selected from the group consisting of a hand-held device, a vehicle, and a computer.
  • 6. The method of claim 1, further comprising rapid cooling of the layer of oxide and the base layer.
  • 7. The method of claim 6 wherein the rapid cooling is performed after forming of the tantalum layer.
US Referenced Citations (49)
Number Name Date Kind
4511601 Akse et al. Apr 1985 A
5057201 Fujita et al. Oct 1991 A
5240559 Ishida Aug 1993 A
5288456 Aboelfotoh et al. Feb 1994 A
5654232 Gardner Aug 1997 A
5728629 Mizuno et al. Mar 1998 A
5792522 Jin et al. Aug 1998 A
5891802 Tao et al. Apr 1999 A
5913144 Nguyen et al. Jun 1999 A
5953634 Kajita et al. Sep 1999 A
5980720 Park et al. Nov 1999 A
6037257 Chiang et al. Mar 2000 A
6043153 Nogami et al. Mar 2000 A
6069068 Rathore et al. May 2000 A
6139701 Pavate et al. Oct 2000 A
6160315 Chiang et al. Dec 2000 A
6174793 Tsai et al. Jan 2001 B1
6174811 Ding et al. Jan 2001 B1
6232230 Iacoponi May 2001 B1
6281116 Yanagida Aug 2001 B1
6309970 Ito et al. Oct 2001 B1
6331484 Bhowmik et al. Dec 2001 B1
6350688 Liu et al. Feb 2002 B1
6391774 Takewaki May 2002 B1
6420258 Chen et al. Jul 2002 B1
6432819 Pavate et al. Aug 2002 B1
6440854 Rozbicki Aug 2002 B1
6468908 Chen et al. Oct 2002 B1
6566259 Ding et al. May 2003 B1
6573607 Ito et al. Jun 2003 B2
6758920 Nagano et al. Jul 2004 B2
6881673 Ding et al. Apr 2005 B2
6909637 Nelson et al. Jun 2005 B2
7033940 Marathe et al. Apr 2006 B1
7341945 Yamamoto Mar 2008 B2
7396755 Haider Jul 2008 B2
7452812 Beyer et al. Nov 2008 B2
7510634 Klawuhn et al. Mar 2009 B1
7645696 Dulkin et al. Jan 2010 B1
7659197 Juliano Feb 2010 B1
7682966 Rozbicki et al. Mar 2010 B1
7820020 Brown et al. Oct 2010 B2
8062484 Brown et al. Nov 2011 B2
20030022480 Tsai et al. Jan 2003 A1
20040266175 Chen et al. Dec 2004 A1
20060258152 Haider Nov 2006 A1
20070039817 Daniels et al. Feb 2007 A1
20070251818 Yi et al. Nov 2007 A1
20080146028 Yu et al. Jun 2008 A1
Foreign Referenced Citations (1)
Number Date Country
06-157175 Jun 1994 JP
Related Publications (1)
Number Date Country
20080146028 A1 Jun 2008 US