Porting a circuit design from a first semiconductor process to a second semiconductor process

Information

  • Patent Grant
  • 9117746
  • Patent Number
    9,117,746
  • Date Filed
    Monday, July 21, 2014
    10 years ago
  • Date Issued
    Tuesday, August 25, 2015
    9 years ago
Abstract
Porting a first integrated circuit design targeted for implementation in a first semiconductor manufacturing process, and implementing a second circuit design in a second semiconductor manufacturing process wherein the electrical performance of the second integrated circuit meets or exceeds the requirements of the first integrated circuit design even if the threshold voltage targets of the second integrated circuit design are different from those of the first integrated circuit design; and wherein physical layouts, and in particular the gate-widths and gate-lengths of the transistors, of the first and second integrated circuit designs are the same or substantially the same. The second integrated circuit design, when fabricated in the second semiconductor manufacturing process and then operated, experiences less off-state transistor leakage current than does the first integrated circuit design, when fabricated in the first semiconductor manufacturing process, and then operated. Porting includes determining processing targets for the second semiconductor manufacturing process.
Description
INCORPORATION BY REFERENCE OF ADDITIONAL DOCUMENTS

In addition to provisional application referred to above, the following are incorporated herein by reference: U.S. patent application Ser. No. 12/895,785 filed 30 Sep. 2010, titled “Advanced Transistors With Threshold Voltage Set Dopant Structures;” U.S. patent application Ser. No. 12/960,266, filed 3 Dec. 2010; U.S. patent application Ser. No. 13/471,353 filed 14 May 2012, titled “Integrated Circuit Devices and Methods;” U.S. patent application Ser. No. 13/459,971 filed 30 Apr. 2012, titled “Multiple Transistor Types Formed in a Common Epitaxial Layer by Differential Out-Diffusion from a Doped Underlayer;” U.S. patent application Ser. No. 12/708,497, filed 18 Feb. 2010, titled “Electronic Devices And Systems, And Methods For Making And Using The Same;” U.S. patent application Ser. No. 12/971,884, filed 17 Dec. 2010, titled “Low Power Semiconductor Transistor Structure and Method of Fabrication Thereof;” U.S. patent application Ser. No. 12/971,955, filed 17 Dec. 2010, titled “Transistor with Threshold Voltage Set Notch and Method of Fabrication Thereof.”


FIELD OF THE INVENTION

The present invention relates generally to integrated circuits and methods of reusing circuits designed for one semiconductor manufacturing process in a second semiconductor manufacturing process where that second semiconductor manufacturing process provides lower subthreshold leakage currents and lowers intra-die and inter-die variability of threshold voltage.


BACKGROUND

Integrated circuit design typically begins with the knowledge of a design goal or specification. Such specifications describe the required performance of the circuit(s) to be designed. Integrated circuit designers typically first create a computer model of a circuit design that will meet the performance specification. That circuit design model is based upon the electrical circuit elements that are available in a given semiconductor manufacturing process. For example, electrical circuit elements may include diodes, transistors, capacitors, resistors, and so on. Typical modern integrated circuits include both p-channel and n-channel field effect transistors.


As is known in the field of integrated circuit design, the cost of preparing masks and fabricating the integrated circuits is very high. In view of these costs, integrated circuit designers perform extensive simulations of their circuit designs in order to reduce the risk of manufacturing a circuit that does not perform as desired. Such circuit simulations generally rely on four sets of information: (a) a network topology description, (b) circuit element models, (c) process related targets (e.g., transistor threshold voltages), and (d) input waveforms to drive the circuit being simulated.


Both the network topology description and the input waveforms are independent of, or at least substantially decoupled from, the semiconductor manufacturing process with which the circuit is intended to be manufactured. The circuit element models and the process related targets are closely related to this semiconductor manufacturing process.


It is common for integrated circuit designers to seek to reduce the static power consumed by integrated circuits. It is common in semiconductor process technology nodes from about 45 nm and beyond, that a substantial amount of static power is attributable to off-state leakage current in transistors. However, even in seeking to reduce the power consumption due to leakage current, designers also seek to re-use their circuit designs (both transistor network topologies and physical layout).





BRIEF DESCRIPTION OF THE DRAWINGS

Embodiments of the invention are described with reference to the accompanying drawings. In the drawings, like reference numbers indicate identical or functionally similar elements. Additionally, the left most digit(s) of a reference number identifies the drawing in which the reference number first appears.



FIG. 1A is a cross-sectional view of an n-channel field effect transistor fabricated in accordance with the Deeply Depleted Channel (DDC) transistor architecture.



FIG. 1B is a flow diagram of an illustrative process for fabricating a DDC transistor.



FIG. 1C is a flow diagram of an illustrative process for fabricating DDC and non-DDC transistors.



FIG. 2 is a schematic diagram of a static memory bit cell, where the bit cell includes a pair of cross-coupled CMOS inverters.



FIG. 3 shows a schematic representation of a static CMOS bit cell and a set of IDS vs. VDS curves reflecting the performance of the bit cell circuitry when implemented with conventional transistors versus being implemented with DDC transistors.



FIG. 4A is a flow diagram illustrating a process of porting a bit cell design from a first semiconductor manufacturing process to a second semiconductor manufacturing process.



FIG. 4B is a flow diagram illustrating a process of porting a bit cell design from a first semiconductor manufacturing process to a second semiconductor manufacturing process.



FIG. 4C is a flow diagram illustrating an optimization process for use in a method of porting a bit cell design from a first semiconductor manufacturing process to a second semiconductor manufacturing process.



FIG. 4D illustrates the transistor mismatch used for worst case read Static Noise Margin (“SNM”) simulations performed for an exemplary embodiment.



FIG. 4E illustrates the transistor mismatch used for worst case write margin simulations performed for an exemplary embodiment.



FIG. 5 is a flow chart illustrating a method of generating an optimized ported bit cell based on a source bit cell, where the ported bit cell is to be a drop-in replacement for the source bit cell.



FIG. 6 shows graphs of read SNM, read current, and write margin obtained from simulations performed for a bit cell using conventional transistors with halo implants for a 65 nm process.



FIG. 7 shows graphs of read SNM, read current, and write margin obtained from simulations performed for a bit cell using DDC transistors for a 65 nm process.



FIG. 8 shows graphs of the read SNM, write margin, and read current for a bit cell using DDC transistors and a bit cell using conventional transistors with halo implants.



FIG. 9 shows graphs of read SNM and write margin for an SRAM cell using EBE transistors and an SRAM cell using conventional transistors for simulations performed at 6σ and the same AVT.



FIG. 10A shows cell leakage versus read current obtained from Monte Carlo simulations performed for a bit cell using DDC transistors and for a bit cell using conventional transistors.



FIG. 10B shows read SNM versus write margin obtained from Monte Carlo simulations performed for a bit cell using DDC transistors and for a bit cell using conventional transistors.



FIG. 11A shows yield as a function of VDD and AVT in the presence of process drift for an SRAM having bit cells using DDC transistors.



FIG. 11B shows yield as a function of VDD and AVT in the presence of process drift for an SRAM having bit cells using DDC transistors.



FIG. 11C shows yield as a function of VDD and AVT with no drift in the process mean assumed, for an SRAM having bit cells using DDC transistors.



FIG. 11D shows yield as a function of VDD and AVT with no drift in the process mean assumed, for an SRAM having bit cells using DDC transistors.



FIG. 12 is an illustrative plot showing transistor threshold voltage as a function of doping concentration in the screen region of a DDC transistor.





It is noted that the cross-sectional representations of various semiconductor structures shown in the figures are not necessarily drawn to scale, but rather, as is the practice in this field, drawn to promote a clear understanding of the structures, process steps, and operations which they are illustrating.


DETAILED DESCRIPTION

The following Detailed Description refers to accompanying drawings to illustrate exemplary embodiments consistent with the invention. References in the Detailed Description to “one exemplary embodiment,” “an illustrative embodiment,” “an exemplary embodiment,” and so on, indicate that the exemplary embodiment described may include a particular feature, structure, or characteristic, but every exemplary or illustrative embodiment may not necessarily include that particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same exemplary embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is within the knowledge of those skilled in the relevant art(s) to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.


The following Detailed Description of the exemplary embodiments will so fully reveal the general nature of the embodiments that others can, by applying knowledge of those skilled in relevant art(s), readily modify and/or adapt for various applications such exemplary embodiments, without undue experimentation. Therefore, such adaptations and modifications are intended to be within the meaning and plurality of equivalents of the exemplary embodiments.


The acronym AVT, as used herein is interchangeable with σVT.


The acronym CMOS refers to Complementary Metal Oxide Semiconductor. As used in this disclosure CMOS refers to either a circuit that includes both p-channel field effect transistors and n-channel field effect transistors, or a semiconductor manufacturing process that produces both p-channel field effect transistors and n-channel field effect transistors on the same die or on the same substrate.


Epitaxial layer refers to a layer of single crystal semiconductor material. In this field, an epitaxial layer is commonly referred to “epi.”


FET, as used herein, refers to field effect transistor. An n-channel FET is referred to herein as an N-FET. A p-channel FET is referred to herein as a P-FET. Unless noted otherwise the FETs referred to herein are MOSFETs.


It is noted that the use of the word “transistor” in this field is context sensitive. That is, depending on the context, it may refer to a physically implemented transistor in an integrated circuit, a simulation model of a transistor, a layout of a transistor, a schematic representation of a transistor, and so on.


As used herein, “gate” refers to the gate terminal of a FET. The gate terminal of a FET is also referred to in this field as a “gate electrode.” Historically, the gate electrode was a single structure such as a layer of doped polysilicon disposed on a gate dielectric. More recent gate electrodes have included the use of metals and metal alloys in their structures.


Source/drain (S/D) terminals refer to the terminals of a FET, between which conduction occurs under the influence of an electric field, subsequent to the inversion of the semiconductor surface under the influence of an electric field resulting from a voltage applied to the gate terminal of the FET. Generally, the source and drain terminals of a FET are fabricated such that they are geometrically symmetrical. With geometrically symmetrical source and drain terminals it is common to simply refer to these terminals as source/drain terminals, and this nomenclature is used herein. Designers often designate a particular source/drain terminal to be a “source” or a “drain” on the basis of the voltage to be applied to that terminal when the FET is operated in a circuit.


Substrate, as used herein, refers to the physical object that is the basic workpiece that is transformed by various process operations into the desired microelectronic configuration. Silicon wafers are a commonly used substrate in the manufacture of integrated circuits.


The “active” surface of a substrate refers to the surface in and upon which active circuit elements such as transistors are, or are to be, formed.


The acronym SRAM refers to Static Random Access Memory.


The term “porting” as used herein refers to the methods involved in taking a first integrated circuit design that has been targeted for implementation in a first semiconductor manufacturing process, and implementing a second circuit design in a second semiconductor manufacturing process wherein the electrical performance of the second integrated circuit meets or exceeds the requirements specified for the first integrated circuit design even if the threshold voltage targets of the second integrated circuit design are different from those of the first integrated circuit design; and wherein physical layouts, and in particular the gate-widths and gate-lengths of the transistors, of the first and second integrated circuit designs are the same or substantially the same. Further, in the context of the present disclosure, the second integrated circuit design, when fabricated in the second semiconductor manufacturing process and then operated, experiences less off-state transistor leakage current than does the first integrated circuit design, when fabricated in the first semiconductor manufacturing process, and then operated. Still further, in the context of the present disclosure, the methods involved in porting include determining processing targets for the second semiconductor manufacturing process.


The term “migrating” as used herein is synonymous with porting.


Overview


It is well known in the fields of integrated circuit design and semiconductor manufacturing that each new technology node provides smaller feature sizes for transistors, and usually for other structures such as interconnect as well. Conventionally, improved electrical performance required implementing integrated circuit designs with a more advanced manufacturing processes at a technology node that offered smaller feature sizes. Historically it was possible to “shrink” the physical layout and manufacture this smaller version of the original design in the new process. However, as feature sizes have become smaller, and particularly as minimum transistor dimensions have decreased below 100 nm, the required manufacturing processes and lithography methods have introduced layout constraints to such an extent that a simple linear shrink of a physical layout is very difficult, if not impossible, to use as a means of migrating a given integrated circuit design from a first process to a second process that offers improved electrical performance.


As noted above, integrated circuit designers seek to reduce static power consumption due to off-state leakage current. But, even in seeking to reduce this parasitic power consumption, circuit designers also desire to re-use their integrated circuit designs. In this context, an integrated circuit design refers to the information describing both a transistor network topology and a corresponding physical layout. It is noted that the aforementioned “transistor network topology” is used broadly herein and may encompass circuit network topologies that include other electrical circuit elements such as resistors, capacitors, diodes, and so on.


In general, a digital integrated circuit design project progresses through a number of well recognized steps. Each step provides some work product that is typically used as an input to the next step. For example, a system level description or system specification may be prepared that describes the functions and features of an integrated circuit. From the system level specification an architectural design for the proposed integrated circuit may be prepared. Next, a functional design and/or logic design is performed. Transistor-level circuit design may be performed subsequent to a logic design. Physical design may involve creating a physical layout of the integrated circuit, or at least portions of the integrated circuit. Various verification processes are performed to ensure that the design will meet its performance specifications. In the case of a digital integrated circuit, for example, simulations and/or static timing analyses are used to ensure that the timing requirements are met; design rule checking is performed to ensure manufacturability of the physical layout; layout versus schematic checking is performed to ensure that the physical layout matches the intended circuit design; and so on. Finally the integrated circuit is fabricated, tested, packaged, and the process is complete.


It is noted that there may be wide latitude in the steps of the circuit design project outlined above. For example, if the integrated circuit design is to be created by using pre-designed standard cells, then the need to perform transistor-level circuit design may be significantly diminished if not eliminated.


Recently, processes have been developed, such as the DDC transistor manufacturing process developed by SuVolta, Inc., of Los Gatos, Calif., which can improve electrical performance and yield without requiring an integrated circuit design to be re-implemented at the smaller dimensions required at other technology nodes. Because electrical and yield improvements can be obtained without having to re-design circuitry and physical layouts for smaller feature sizes, there is significant interest in porting existing integrated circuit designs from a first semiconductor manufacturing process to a second manufacturing process with no, or relatively few, changes to the physical layout.


Various embodiments provide for transforming transistor parameter targets of a circuit designed for a first semiconductor manufacturing process to transistor parameter targets in a second semiconductor manufacturing process. The transistor parameter targets for the second process provide equal or better electrical performance and/or equal or better yield when the circuit is manufactured using the second process. The transistor parameter targets of the second process are typically, but not necessarily, different from those of the first process.


By way of example and not limitation, FET threshold voltage is a commonly used transistor parameter target. In fact, many semiconductor processes are set up to provide N-FETs selectively targeted to have either low Vt, standard Vt, or high Vt. Such processes also typically provide P-FETs selectively targeted to have either low Vt, standard Vt, or high Vt.


In the case of memory bit cell design, a substantial effort goes into developing and verifying the physical layout of the bit cell. From both cost and time-to-market perspectives, it would be very helpful in the case of a memory bit cell design to be able to port an existing design to a process with improved electrical characteristics. Improvements in electrical characteristics that are particularly desirable are reduced leakage current, and reduced inter- and intra-die variability in FET threshold voltages.


The DDC transistor architecture, and the semiconductor manufacturing process that produces it, have these sought after characteristics of low sub-threshold conduction, and low intra- and inter-die variability in threshold voltage. This architecture and process are discussed in more detail below.


Since the DDC transistor architecture and manufacturing process provide designers with the electrical characteristics that are desired, but designers are reluctant to invest in a re-design and re-layout of an existing circuit, a means of facilitating the porting of existing circuit designs to the DDC process would benefit designers, producers and consumers alike.


DDC Transistor Architecture and Manufacturing Process


The structural architecture and illustrative methods of manufacturing DDC transistors are disclosed in great detail in various patents and patent applications assigned to the assignee of this application. Nonetheless, a short description of the DDC structural architecture, methods of manufacturing, and electrical characteristics are provided below.



FIG. 1A shows an embodiment of an Deeply Depleted Channel (DDC) transistor 100 that is formed on a P-type silicon substrate and configured to have an enhanced body coefficient, along with the ability to set threshold voltage Vt with enhanced precision. DDC transistor 100 is an N-FET and includes a gate electrode 102, a first N-type source/drain (S/D) 104, a second N-type S/D 106, and a gate dielectric layer 128 disposed over a substantially undoped channel region 110. Lightly doped source/drain extensions (SDE) 132, are disposed, respectively, adjacent to first and second source/drain 104, 106, and extend toward each other thus reducing the effective length of channel region 110.


DDC transistor 100 includes a screening region 112 that is highly doped with P-type dopants, and a Vt set region 111 also including P-type dopants. It will be understood that, with appropriate change to substrate or dopant material, a P-FET DDC transistor can similarly be formed.


In one embodiment, a process for forming the DDC transistor includes forming the screening region 112. In some embodiments, the screening region is formed by implanting dopants into P-well 114. In alternative embodiments the screening region is formed on the P-well using methods such as in-situ doped epitaxial silicon deposition, or epitaxial silicon deposition followed by dopant implantation. The screening region formation step can be before or after shallow trench isolation (STI) formation, depending on the application and results desired. Boron (B), Indium (I), or other P-type materials may be used for P-type implants, and arsenic (As), antimony (Sb) or phosphorous (P) and other N-type materials can be used for N-type implants. In some embodiments, screening region 112 can have a dopant concentration between about 1×1018 to 1×1020 dopant atoms/cm3, with approximately 5×1019 being typical. A germanium (Ge), carbon (C), or other dopant migration resistant layer can be applied above the screening region to reduce upward migration of dopants. The dopant migration resistant layer can be implanted into the screening region or provided as an in-situ doped epitaxial layer.


In some embodiments, a threshold voltage (Vt) set region 111 is disposed above screening region 112, and formed as a thin doped layer. Vt set region 111 can be either adjacent to screening region 112, be within screening region 112 or vertically offset from screening region 112. In some embodiments, Vt set region 111 is formed by delta doping, controlled in-situ deposition, or atomic layer deposition. Vt set region 111 can alternatively be formed by way of an in-situ doped epitaxial layer that is grown above screening region 112, or by epitaxial growth of a thin layer of silicon followed by out-diffusion of dopant atoms from screening region 112. Varying dopant concentration, allows for adjustments to the threshold voltage value for the transistor. In some embodiments, Vt set region 111 can have a dopant concentration between about 1×1018 dopant atoms/cm3 and about 1×1019 dopant atoms per cm3. In alternative embodiments, Vt set region 111 can have a dopant concentration that is approximately less than half of the concentration of dopants in screening region 112. An example of the relationship between threshold voltage and dopant concentration is provided at FIG. 12. FIG. 12 shows that with a higher dose of dopant material, a greater absolute value of threshold voltage is obtained in the physically implemented transistor. In this illustrative example, ion implantation performed to introduce the dopant material into a substrate.


In some embodiments, the formation of Vt set region 111 is followed by a non-selective blanket epi deposition step that forms the substantially undoped channel region 110. Shallow trench isolation (STI) structures can be formed after the non-selective blanket epi deposition step, and can include the formation of a low temperature trench sacrificial oxide liner, at a temperature lower than 900° C.


In addition to using dopant migration resistant layers, other techniques can be used to reduce upward migration of dopants from screening region 112 and Vt set region 111, including but not limited to low temperature processing, selection or substitution of low migration dopants such as antimony or indium, low temperature or flash annealing to reduce interstitial dopant migration, or any other technique to reduce movement of dopant atoms.


Substantially undoped channel region 110 is positioned above Vt set region 111. Preferably, substantially undoped channel region 110 is achieved by way of epitaxial growth of intrinsic semiconductor material without dopant additives to modify the electrical conductivity of the material. The resultant layer typically has a dopant concentration less than 5×1017 dopant atoms per cm3. In alternative embodiments, substantially undoped channel region 110 has a dopant concentration that is approximately less than one tenth of the dopant concentration in screening region 112. In one embodiment, the thickness of substantially undoped channel region 110 can range from 5 to 50 nanometers, with exact thickness being dependent on desired transistor operating characteristics and transistor design node (i.e., a 20 nm gate length transistor will typically have a thinner channel thickness than a 45 nm gate length transistor).


A gate stack may be formed or otherwise constructed above channel region 110 in a number of different ways, from different materials, and of different work functions as is known in this field.


Source 104 and drain 106 can be formed preferably using conventional dopant ion implantation processes and materials, and typically includes source/drain extension regions that define, at least in part, the electrical channel length of the transistor of which they form a part. Channel region 110 contacts and extends between S/D 104 and S/D 106.


In various embodiments of DDC transistor 100, when voltage is applied at gate electrode at a predetermined level, a depletion region formed in channel region 110 can substantially extend to screening region 112.


Overall improvement of noise and electrical characteristics for a transistor require careful trade-offs to be made in doping density, length, and depth of the foregoing transistor structures. Improvements made in one area, for example, channel mobility, can be easily offset by adverse short channel effects or greater variability in capacitance or output resistance. One particularly critical parameter for analog and digital transistor design is the threshold voltage at which the transistor switches on or off.


The threshold voltage of DDC transistor 100 can be adjusted by controlling the dopant concentration and position of Vt set region 111, while leaving the bulk of channel region 110 substantially undoped. In a typical DDC process architecture, conventional “channel implants” where the channel is implanted to set threshold voltage, is not used. Preferably, the threshold voltage setting technique of forming halos adjacent to the source/drain is not used, either. Preferably, the threshold voltage of the DDC transistor is set by the design, or selection, of various DDC process variables such as the doping depth, doping profile of the doped region and location and value of the peak concentration to result in a laterally extended, doped region that is embedded a distance away from the gate dielectric layer, preferably separated from the gate dielectric by a distance of at least ⅕ of the gate length (Lg). The DDC transistor doping profiles and dopant concentrations are designed, or selected, to achieve the desired threshold voltage, junction leakage and other parametric values. The design, or selection of the doping profiles and concentrations typical takes into consideration factors such as, but not limited to, the work function of the gate electrode.


As previously noted, screening region 112 is a highly doped layer that typically contains dopant atoms with a concentration of between 1×1018 atoms/cm3 and 1×1020 atoms/cm3, positioned under channel region 110. P-type dopants such as boron are selected for screening regions of N-FETs, while N-type dopants such as arsenic, antimony or phosphorus can be selected for P-FETs. The presence of a screening region below channel region 110 is necessary to define a depletion zone beneath the gate. Generally, the greater the distance screening region 112 is positioned from gate dielectric 128, the lower the threshold voltage, and conversely, the closer screening region 112 is to gate dielectric 128, the higher the threshold voltage. As shown in FIGS. 1-2, screening region 112 can contact S/D regions, or optionally, it can be positioned at a greater distance below the gate to avoid direct contact with the S/D regions (not shown). In some embodiments, it may be formed as a blanket or sheet extending under multiple source/drain/channel regions, while in other embodiments it may be a self-aligned implant or layer coextensive with channel region 110 or a layer extending between outer edges of gate spacers 130. The screening region thickness can typically range from 5 to 100 nanometers. Screening region 112 is highly doped relative to channel region 110, the threshold voltage set region (if provided), and the well region. The peak dopant concentration of the screening region can be ten times or greater than the dopant concentration of the substantially undoped channel, with a relative concentration that can be between ten to a hundred times the dopant concentration of channel region 110. In practice, screening region 112 can be doped to have a near uniform concentration of between 5×1018 atoms/cm3 and 5×1019 atoms/cm3. However, embodiments in which the screening region has complex dopant profile or reduces sharply in concentration from an initial spike are also contemplated. In certain embodiments, dopant migration resistant layers of carbon, germanium, or the like can be applied along with or above screening region to prevent dopant migration into the optional Vt set region and the channel region.


A DDC semiconductor fabrication process typically uses a different process sequence from the conventional CMOS semiconductor fabrication process because it is imperative to control against unwanted migration of dopants from the screen/Vt set region into the channel which must remain substantially undoped. An exemplary process flow for a DDC semiconductor fabrication process is shown in FIG. 1B.


Referring to FIG. 1B, an illustrative process 150 for fabricating integrated circuits that include one or more DDC transistors is shown. In a step 152, the desired transistor threshold voltage, junction leakage, and other transistor parametrics are determined. In a step 154, a semiconductor wafer is provided. In this illustrative embodiment, the semiconductor substrate is a bulk silicon wafer. In a step 156, doping operations are performed to dope the screen region and the Vt set region of each DDC transistor. The doping profiles, concentrations, and dopant conductivity types are preselected based, at least in part, on the doping conditions needed to achieve the desired parametrics. It is noted that there may be more than one set of doping profiles, concentrations, and dopant conductivity types that can produce the desired set electrical characteristics in a fabricated DDC transistor. In a step 158, a blanket epitaxial layer is formed on the active-side surface of the substrate. In this illustrative embodiment, the epitaxial layer is an intrinsic silicon. In a step 160, shallow trench isolation regions are formed on the active-side surface of the substrate. In a step 162, a gate dielectric layer and a gate electrode layer are formed and patterned; and S/D regions are formed in the substrate adjacent to the patterned gate electrodes. It is noted that the gate dielectric layer may be a stack that includes two or more materials. It is further noted that the gate electrode may be formed of a single layer of material or may be formed of a stack of materials. It is still further noted that the gate electrode may be removed and replaced subsequent to the formation of the S/D regions. In a step 164, the fabrication of integrated circuits is completed. As will be appreciated by those skilled in the art and having the benefit of this disclosure, various conventional processing operations, including but not limited to forming and patterning interconnect layers and vias may be performed to complete the integrated circuits.


It is noted that the DDC semiconductor fabrication process can be adapted to fabricate a combination of DDC transistors and non-DDC transistors. For instance, if non-DDC transistors of conventional planar type are to be fabricated, then the process described above in connection with FIG. 1B can be modified to accommodate the fabrication steps for conventional planar type transistors.


Referring to FIG. 1C, an illustrative process 170 for fabricating integrated circuits that include one or more DDC transistors together with one or more non-DDC transistor is shown. In a step 172, the desired transistor threshold voltage, junction leakage, and other transistor parametrics for DDC and non-DDC transistors are determined. In a step 174, a semiconductor wafer is provided. In this illustrative embodiment, the semiconductor substrate is a bulk silicon wafer. In a step 176, doping operations are performed to dope the screen region and the Vt set region of each DDC transistor. The doping profiles, concentrations, and dopant conductivity types are preselected based, at least in part, on the doping conditions needed to achieve the desired parametrics. It is noted that there may be more than one set of doping profiles, concentrations, and dopant conductivity types that can produce the desired set electrical characteristics in a fabricated DDC transistor. In a step 178, a blanket epitaxial layer is formed on the active-side surface of the substrate. In this illustrative embodiment, the epitaxial layer is an intrinsic silicon. In a step 180, shallow trench isolation regions are formed on the active-side surface of the substrate. In a step 182, the threshold voltages for non-DDC transistors are set by halo and/or channel implants. In a step 184, a gate dielectric layer and a gate electrode layer are formed and patterned; and S/D regions are formed in the substrate adjacent to the patterned gate electrodes. It is noted that the gate dielectric layer may be a stack that includes two or more materials. It is further noted that the gate electrode may be formed of a single layer of material or may be formed of a stack of materials. It is still further noted that the gate electrode may be removed and replaced subsequent to the formation of the S/D regions. In a step 186, the fabrication of integrated circuits is completed. As will be appreciated by those skilled in the art and having the benefit of this disclosure, various conventional processing operations, including but not limited to forming and patterning interconnect layers and vias may be performed to complete the integrated circuits.


It is noted that applying bias to screening region 112 is another technique for modifying Vt of DDC 100. Screening region 112 sets the body effect for the transistor and allows for a higher body effect than is found in conventional FET technologies. For example, a body tap 126 to screening region 112 of DDC transistor 100 can be formed in order to provide further control of threshold voltage. The applied bias can be either reverse or forward biased, and can result in significant changes to threshold voltage. Bias can be static or dynamic, and can be applied to isolated transistors, or to groups of transistors that share a common well. Biasing can be static to set threshold voltage at a fixed set point, or dynamic, to adjust to changes in transistor operating conditions or requirements.


SRAM Bit Cells


Referring to FIG. 2, a portion of a typical SRAM 200 is shown. Typical SRAMs include a plurality of SRAM bit cells (hereinafter “bit cells”). In an SRAM, bit cells are typically arranged in rows and columns. FIG. 2 shows a bit cell 205. Bit cell 205 is implemented using DDC transistors.


Bit cell 205 includes a pair of access transistors 225, 230, and a pair of cross-coupled CMOS inverters. A first inverter of bit cell 205 includes P-FET 235 and N-FET 245. P-FET 235 is coupled source-to-drain between a power supply node Vdd and an output node CN0 of the first inverter. N-FET 245 is coupled drain-to-source between node CN0 and ground. A second inverter of bit cell 205 includes P-FET 240 and N-FET 250. P-FET 240 is coupled source-to-drain between a power supply node Vdd and an output node C0 of the second inverter. N-FET 250 is coupled drain-to-source between node C0 and ground. Since the CMOS inverters are cross-coupled, the gates of P-FET 235 and N-FET 245 (the first inverter) are electrically connected to each other and to output node C0. Access transistor 225 couples node C0 to a first bit line BL0, and access transistor 230 couples node CN0 to a second bit line BLN0. The respective body terminals of N-FETs 225, 230, 245, 250 are coupled to a node labeled Vbn. Node Vbn may be the ground node of the circuit, or may be the output node of a voltage source that provides a fixed bias voltage, or may be the output node of a voltage source that provides a dynamically variable bias voltage. The respective body terminals of P-FETs 235, 240 are coupled to a node labeled Vbp. In some embodiments node Vbp is coupled to Vdd.


Bit cell 205 can retain its state indefinitely as long as the power supply voltage Vdd is sufficient to operate the cell correctly, i.e., exceeds VDDmin. Bit cell 205 includes two cross-coupled inverters consisting of the pair of transistors 235 and 245, and 240 and 250. The two inverters operate to reinforce the stored charge on storage nodes CN0 and C0 continuously, such that the voltages at each of the two storage nodes are inverted with respect to one another. When CN0 is at a logical “1”, usually a high voltage, C0 is at a logical “0”, usually a low voltage, and vice versa. As long as the small-signal loop gain is greater than 1, the stored voltages are reinforced and the storage is successful.


Still referring to FIG. 2, a write operation can be performed to store data in bit cell 205, and a read operation can be performed to access stored data in bit cell 205. In one embodiment, data is stored in bit cell 205, during a write operation by placing complementary write data signals on the two bit lines BL0 and BLN0, and placing a positive voltage VWL on the word line WL connected to the gates of access transistors 225 and 230, such that the two bits lines are coupled to the storage nodes C0 and CN0 respectively. The write operation is successful when the write data signals on the two bit lines overcome the voltages on the two storage nodes and modify the state of the bit cell. The cell write is primarily due to the bit line driven low overpowering the P-FET pull-up transistor via the access transistor. Thus the relative strength ratio of the N-FET access transistor to the P-FET pull-up transistor is important to maximizing the write margin.


Data is accessed from bit cell 205, during a read operation by precharging the two bit lines BL0 and BLN0 to a positive voltage, such as the positive power supply voltage Vdd, and placing a positive voltage VWL on the word line WL such that access transistors 225 and 230 allow storage nodes C0 and CN0 to be coupled to bit lines BL0 and BLN0 respectively. During the read operation bit cell 205 drives complementary read data signals onto the bit lines BL0 and BLN0, and a resulting read current pulls one of the two bitlines low in accordance with the stored state of the bit cell. The differential voltage on bit lines BL0 and BLN0 can be sensed using a differential sense amplifier (not shown) that senses and amplifies the differential voltage signal on the bit lines. The output of the sense amplifier is subsequently output as the read data for the bit cell.


Embodiments of bit cells using DDC transistors can have enhanced performance characteristics as compared to bit cells using conventional MOSFETs. Some of the reasons for the enhanced performance characteristics are (1) the DDC transistors can have lower threshold voltage variation, i.e., lower σVT, and (2) the DDC transistors have higher Ieff and higher body coefficient. As a result, bit cells using DDC transistors can have (1) enhanced read stability that can be measured as enhanced read static noise margin, as well as lower SRAM minimum operating voltage VDDmin; (2) enhanced write margin; (3) faster SRAM operation resulting from lower read current variability; and (4) lower bit cell leakage resulting from lower σVT.



FIG. 3 shows an annotated bit cell that illustrates some of the advantageous DDC transistor characteristics. DDC transistors can exhibit a higher current drive as compared to conventional MOSFETs, when a low voltage is being applied to the gate and the drain-to-source voltage is less than VGS−VT of the transistor, i.e., such that the transistor is operating in the linear region. This higher drive current is illustrated in the ID v. VDS graphs in FIG. 3 which show the drain current as a function of the drain voltage for a DDC transistor and a conventional transistor.


The source voltage on N-FETs of the cross-coupled CMOS inverters in the bit cell is increased during the read operation, diminishing the SNM. For example, this dynamic store low voltage VCN can be less than 0.2 V during the read operation in certain embodiments. This voltage can be as low as 0.1 volts in certain alternative embodiments. The amount of increase is a function of the ratio of the pull-down to access N-FET device, with the smallest possible increase (constrained by area) being desirable. Therefore, the higher drive current of DDC transistors at low drain biases contributes to an enhanced read SNM. Additionally, as the Vt's of the series N-FETs vary, the read SNM may be diminished. Therefore, the lower AVT of DDC transistors also improves read SNM.


During read operations, the N-FET access transistor of the bit cell has an increased body bias voltage that results from the rise in the storage node voltage during the read operation. Therefore, the enhanced body coefficient of the DDC transistor results in an N-FET access transistor with reduced drive current drive capability. The combination of the enhanced drive capability of the pull-down transistor, and the reduced drive capability of the access transistor results in an increased read SNM and increased cell stability. This is evident qualitatively from the better voltage divider ratio obtained by increasing the resistance through the access N-FET transistor and decreasing the resistance through the N-FET of the CMOS inverter, respectively.



FIG. 3 also shows that the bit cell using DDC transistors may not have a significant impact on the writeability of the bit cell when the bitline is driven to VSS because the access transistor has no body bias voltage under these conditions.


The enhanced body coefficient of the DDC transistor can also be used to provide bit cells having a lower standby power, and better column level margin controls.


Porting Methods


In one embodiment, a ported bit cell is generated based on a source bit cell. The source bit cell uses conventional FETs and is fabricated using a conventional semiconductor process, and the ported bit cell uses DDC transistors and is fabricated using a DDC semiconductor process. FIG. 4 illustrates a method to generate a ported bit cell based on the source bit cell, where the ported bit cell is designed to be a drop-in replacement for the source bit cell. In one embodiment, the ported bit cell can have the same area as the source bit cell, and each of the DDC transistors in the ported bit cell can have the same size as the corresponding transistor in the source bit cell. In alternative embodiments, the ported bit cell can be fabricated without making any modifications to the layout database (e.g., GDSII format) information corresponding to the source bit cell. In other embodiments, the ported bit cell can be fabricated using the same GDSII format information as the source bit cell. In some embodiments, the layout database information can be resized to produce the master or direct write information for the ported bit cell. For purposes of illustration only, the elements of these embodiments are described with reference to the flow charts shown in FIGS. 4-5. In some embodiments, the ported cell can be smaller and/or have dimensions and layout that make it more lithography friendly, i.e., easier to fabricate.


Referring to FIG. 4A, a method 400 determines the gate width and gate length of the transistors in the source bit cell in step 405. In step 415, a ported bit cell is “designed” using one or more DDC transistors. In this context, “designed” refers to modifying a simulation model of and original, or source, design, so that the simulation model will operate with DDC transistor models rather than conventional transistor models.


In one embodiment, the ported bit cell is a drop-in replacement for the source bit cell and uses DDC transistors of substantially the same size as the source bit cell. In alternative embodiments, the ported bit cell can be fabricated either using the layout database of the source bit cell with substantially no modifications, or it can be fabricated after resizing the layout database of the source bit cell. In step 420, initial values are selected for the DDC N-FET design parameters, commonly threshold voltage VTN, and the DDC P-FET threshold voltage VTP. It is noted that other design parameters such as junction leakage or drive current can be targeted. In this illustrative embodiment threshold voltage is used as the design target. In one embodiment, VTN and VTP are initially set to the threshold voltage used for logic gates on the same integrated circuit. In step 425, VTN and VTP are optimized to provide the best read SNM, write margin, read current, and cell leakage for the ported bit cell. It is noted that while read SNM, write margin, read current and cell leakage are typical design points for a bit cell, other design considerations such as target retention voltage (Vretain) can be utilized as a design point. Other design considerations such as Vwordline and other less common design points can also be utilized. In one embodiment, the ported bit cell VTN and VTP are selected to be substantially matched to the source bit cell. In one embodiment, an analysis is performed by simulating a ported bit cell having worst case mismatch between the DDC transistors in the cell. In one embodiment, the simulations are performed at a temperature that is considered to be the worst case operating temperature, e.g., 85° C. In certain embodiments, the simulations are performed under systematic process conditions, e.g., wafer to wafer variation, subject to an additional mismatch that would determine the worst case read SNM, worst case write margin, worst case read current, and worst case cell leakage of the ported bit cell. Step 425 optimizes VTN and VTP to provide the best values of these performance characteristics for the ported bit cell. For example, wafer to wafer variation can be included in the optimization step 425 by simulating at one or more process corners, such as fast N-FET slow P-FET, slow N-FET fast P-FET, slow N-FET slow P-FET, or fast N-FET fast P-FET. In step 430, DDC transistor design parameters required to obtain the optimized VTN and VTP (obtained in step 425) are determined. Such design parameters can include the thickness of the blanket epitaxial layer, the position of the screening region, the position of the threshold voltage tuning region, the dopant concentration of the screening region, and/or the dopant concentration of the threshold voltage tuning region.



FIG. 4B illustrates a method 450 to generate an optimized ported bit cell based on a source bit cell, where the ported bit cell is designed to be a drop-in replacement for the source bit cell. In a step 455, the sizes of the transistors in the source bit cell are determined. In a step 460, a ported bit cell is “designed” using one or more DDC transistors. In a step 465, initial values for design target parameters, in this embodiment N-FET threshold voltage and P-FET threshold voltage for the ported bit cell, are selected. It is noted that other design parameters such as junction leakage or drive current can be targeted. In this illustrative embodiment threshold voltage is used as the design target. In a step 470, the transistor gate-width and gate-length (W/L) is optimized, in addition to VTN and VTP, to provide the best read SNM, write margin, read current, and cell leakage for the ported bit cell. It is noted that while read SNM, write margin, read current and cell leakage are typical design points for a bit cell, other design considerations such as target Vretain can be utilized as a design point. Other design considerations such as Vwordline and other less common design points can also be utilized. In certain embodiments, the optimization can change the transistor W/L for the ported bit cell in a limited range, e.g., a W/L change that can be accomplished by resizing the layout database information without resizing the overall cell. In a step 475, DDC transistor design parameters to obtain the optimized W/L for the optimized VTN and VTP (obtained in step 470) are determined.



FIG. 4C illustrates an embodiment of a method to generate a bit cell ported to contain at least one DDC device based on the non-DDC source bit cell, where the ported bit cell is designed to be a drop-in replacement for the source bit cell. Note that this method can be used for any type of devices (e.g., transistors) where there is a source bit cell designed to be fabricated in a first semiconductor process and a target bit cell for fabricating in a second semiconductor process different from the first semiconductor process, regardless of whether DDC transistors are used in the target bit cell. Though described in terms of threshold voltage as the parameter targets, the various embodiments can be implemented using other parameter targets such as junction leakage, drive current or other parameter. In a step 4000, the goals for read margin, write margin, read current and leakage current are identified. In a step 4010, weights for each of the read margin, write margin, read current and leakage currents are selected, in order to determine the objective function for a later optimization. In a step 4020, the gradient of the objective as a function of ratio of P-FET to N-FET Vt is determined. The porting is put through primary optimization loop 4030, whereupon matrix inversion techniques or other gradient-optimization methods are applied. If the objective function is not minimized, then in a step 4040 the gradient determination is performed to reduce the objective function. If the objective function is minimized, then in a step 4050 a check is performed to see if all goals for read margin, write margin, read current and leakage current have been satisfied or exceeded. If one or more goals have not been satisfied, then the porting goes back to step 4000. If all goals for read margin, write margin, read current and leakage current have been satisfied, then the Vt for each device are applied to the devices in the ported bit cell 4060. It is noted that while read SNM, write margin, read current and cell leakage are typical design points for a bit cell, other design considerations such as target Vretain can be utilized as a design point. Other design considerations such as Vwordline and other less common design points can also be utilized. Examples of the porting method are provided in FIGS. 4D and 4E.


In FIG. 4D, an embodiment for determining the Vt shifts for a ported bit cell according to read margin goals is shown. The worst case read SNM is measured by generating a butterfly plot (not shown) for the ported bit cell, having a predetermined DDC threshold voltage variation of x sigma, where −x indicates faster, e.g., shorter channel or lower (absolute value) Vt mismatch direction, while +x indicates the opposite. The simulations to measure the worst case read SNM are performed under the following conditions:

    • (1) A positive voltage is placed on bitlines BL0 and BLN0. In one embodiment, the positive voltage is the positive power supply voltage VDD.
    • (2) A positive voltage is placed on the word line WL. In one embodiment, the positive voltage is the positive power supply voltage VDD.
    • (3) For one of the CMOS inverters in the bit cell, i.e. for one side of the bit cell, the DDC N-FET has a +x sigma threshold voltage mismatch, and the DDC P-FET of the inverter has a −x sigma threshold voltage mismatch. For the other CMOS inverter in the bit cell, i.e., for the other side of the bit cell, the DDC N-FET has a −x sigma threshold voltage mismatch, and the DDC P-FET has a +x sigma threshold voltage mismatch. FIG. 4D illustrates the transistor mismatch used for worst case read SNM simulations performed for one embodiment.
    • (4) The DDC access transistor on the side of the cell that is driving its coupled bitline BL low has a −x sigma threshold voltage mismatch.
    • (5) The simulations are performed for the fast N-FET, slow P-FET corner. In certain embodiments, systematic process conditions, such as wafer to wafer variations, can also be taken into account by appropriately skewing the transistor mismatch parameters.


      The butterfly plot generated under the above conditions can be asymmetric, i.e., one eye of the butterfly plot can be smaller than the other eye, because of the differences in the threshold voltages of the DDC transistors within the ported bit cell. The worst case read SNM is the width of the largest square that can fit in the smallest eye of the butterfly plot. In one embodiment, the read stability of the bit cell can be measured as the worst case read SNM. The simulations performed to determine the worst case read SNM is generally a DC analysis, however, the simulations can also be performed with a slow input ramped transient analysis.


In FIG. 4E, an embodiment for determining the Vt shifts for a ported bit cell according to write margin goals is shown. In one embodiment, the worst case write margin is determined by performing simulations for the ported bit cell, having a predetermined DDC threshold voltage variation of x sigma, under the following conditions:

    • (1) A positive voltage is placed on the word line WL. In one embodiment, the positive voltage is the positive power supply voltage VDD.
    • (2) A positive voltage is placed on bitline BL, and the voltage on bitline BL is swept from a high voltage, such as VDD, to a low voltage, such as VSS.
    • (3) The DDC N-FET access transistor, and the DDC N-FETs of the cross-coupled CMOS inverters have a +x sigma threshold voltage mismatch. The DDC P-FETs of the cross-coupled CMOS inverters have a −x sigma threshold voltage mismatch, on the side being measured. FIG. 4E shows the mismatch used for worst case write margin simulations performed for one embodiment. Other transistors may be skewed as shown in the figure, or not, or by a lesser amount.
    • (4) The simulations are performed for the slow N-FET, fast P-FET corner. In some embodiments, systematic process conditions, such as wafer-to-wafer variations, can also be taken into account by appropriately skewing the transistor mismatch parameters.


      The word line voltage at which the bit line voltage flips is the worst case write margin for the ported bit cell. In one embodiment, the worst case write margin is required to be greater than zero by a predetermined amount. Since the determination of the write margin requires determining the effect of the feedback behavior in the bit cell, a transient circuit simulation can be the most accurate way of determining the write margin, however, by determining the appropriate voltage on the node being pulled low by the bitline, a DC analysis can also be used.


In one embodiment, the read current is determined by performing simulations for the ported bit cell, where the ported bit cell having a predetermined DDC transistor threshold voltage variation of x sigma, under the following conditions:


The DDC N-FETs have a +x sigma threshold voltage mismatch, and the DDC Ps have a +x sigma threshold voltage mismatch.






    • (1) Simulations are performed for the slow N-FET, slow P-FET process corner. In some embodiments, systematic process conditions, such as wafer-to-wafer variations, can also be taken into account by appropriately skewing the transistor mismatch parameters.





In one embodiment, the read current is determined during the transient bitline development, when both bitlines BL and BLN are precharged to a high voltage, e.g., VDD, and word line WL is subsequently set to a high voltage, e.g., VDD. Under these conditions, either bitline BL or BLN is discharged to a low voltage through the DDC N-FET of the CMOS inverter and the access transistor connecting the bitline to VSS, depending on whether the stored state in the ported bit cell is a “0” or “1” respectively. The read current for the ported bit cell is determined when the bitline that is discharging is transitioning from the precharged high voltage state to the low voltage. In an alternative embodiment, the read current can be determined at DC by storing a “0” in the ported bit cell, applying a high voltage to bitline BL and word line WL, and measuring the current through the DDC N-FET of the CMOS inverter. In some embodiments, the ported bit cell bitlines BL and BLN are connected to a sense amplifier used by the SRAM when the read current is measured because the read current for the same bit cell can be different when it is connected to different sense amplifiers.


In one embodiment, bit cell leakage is measured by performing simulations at the fast N-FET, fast P-FET process corner. In some embodiments, systematic process conditions, such as wafer to wafer variations, can also be taken into account by appropriately skewing the transistor mismatch parameters.


In some embodiments, read SNM and write margin can be the two key parameters that affect the optimization of VTN and VTP. The response of both read SNM and write margin can be dominated by two of the transistors in the bit cell. For read SNM the strength ratio of the N-FET access transistor to the pull-down transistor (e.g., NP1 to N1 or NP2 to N2) dominates the response. Alternatively, for write margin the strength ratio of the N-FET access transistor to the pull-up transistor (e.g., NP1 to P1 or NP2 to P2) dominates the response. Therefore, for performing the read SNM analysis, high variability for these two transistors (e.g., either the NP1 N1 pair or the NP2 N2 pair), along with lower variability for the remaining four transistors in the bit cell such that the total response adds up to a particular total cell level variation or ‘sigma’ at the cell level can provide a definitive analysis or optimization point for the SRAM cell. For example if “a” represents the variation of the two transistors that dominate the response of the read SNM, and if “b” represents the variation of the remaining four transistors, then “a” and “b” can be related by the following equations for 1 sigma total (cell) variability:

1=sqrt(2*a2+4*b2);
1=(2*a2+4*b2);
b=sqrt(1−2*a2)/2;

In the above equations, if the variation “b” is zero, then the “a” variation is 1/√2. As a result, in certain embodiments, the maximum “a” variation, subject to the constraint that the total cell variation is “1”, is 1/√2. In alternative embodiments, the maximum a variation can be higher as increased cell variation is permitted (i.e., the cell sigma is increased). For example, if the cell sigma is “2”, then the maximum a variation is “1”, i.e., a is less than or equal to “1”. By working with 1 sigma, the resulting values can be linearly scaled for other sigma levels.


In some embodiments, a similar analysis can be performed for the write margin. The write margin response is dominated by the ratio of the DDC N-FET access transistor to the DDC P-FET of the CMOS inverter (i.e., P1 to NP1 or P2 to NP2). Therefore, for performing the write margin analysis, high variability for these two transistors (e.g., either the P1 NP1 pair or the P2 NP2 pair), along with lower variability for the remaining four transistors in the bit cell such that the total response adds up to one sigma at the cell level can provide a definitive analysis or optimization point for the bit cell. Thus, the variation a determined from the above equations is applied to these two transistors (i.e., either P1 NP1 or P2 NP2, as determined by the initial state of the bit cell which the write must overpower), and the variation b is applied to the remaining four transistors.


This analysis of the bounds of the devices may be extended to the overall cell response 2 sigma, 3 sigma, and so on responses, with the bounds of the other devices impacted as evident by the primary two devices that dominate the response. Thus, more accurate estimations of the bounds of the cell response at various mismatch levels may be determined.


In alternative embodiments, the optimization of the bit cell can also take into account different variability for different DDC transistors in the bit cell. In some embodiments, the optimization of the bit cell can also take into account variations resulting from systematic process corners on bit cell performance, i.e., the impact on read SNM at the fast N-FET slow P-FET corner, the impact on write margin at the slow N-FET fast P-FET corner, the impact on read current at the fast N-FET fast P-FET corner, and the impact on cell leakage at the fast N-FET fast P-FET corner.



FIG. 5 shows a flow chart illustrating a method 500 to target a ported bit cell to match the device matching characteristics of a source bit cell. The advantage such a using DDC transistors in the ported bit cell is that the W/L for the DDC transistors can be reduced for otherwise the same performance characteristics. In a step 505, the sizes of the transistors in the source bit cell are identified. In a step 515, the read SNM, write margin, read current and cell leakage of the source bit cell are determined. In a step 520, the initial design of the ported bit cell is performed. In a step 525, the worst case read SNM of the ported bit cell is determined. In a step 526 a decision is made, so that if the read SNM of the ported bit cell matches, then the matching can proceed to another parameter, shown here as a step 530 which is the worst case write margin for the ported bit cell. If the read SNM of the ported bit cell does not match, then P-FET Vt is reduced 527 and the determination of worst case read SNM of the ported bit cell at 525 is performed again. After a step 530, a decision is made in a step 531, so that if the write margin of the ported bit cell matches, then the matching can proceed to another parameter, shown here as a step 535 which is the read current for the ported bit cell. If the write margin of the ported bit cell does not match, then either the P-FET Vt is raised or N-FET Vt is reduced or both are done at a step 532, whereupon the loop goes back to the first step of the parameter determinations for the ported bit cells, which here is the read SNM 525. At a step 535, the read current of the ported bit cell is determined. After step 535, a decision is made at a step 536, so that if the read current of the ported bit cell matches, then the method proceeds to the next parameter, which here is at a step 540 which determines the cell leakage of the ported bit cell. If the read current of the ported bit cell does not match, then the N-FET Vt is reduced 537, whereupon the loop goes back to the first step of the parameter determinations for the ported bit cells, which here is read SNM 525. At step 540, the cell leakage of the ported bit cell is determined. After step 540, a decision is made at a step 541, so that if the cell leakage of the ported bit cell matches, then the method proceeds to the last step which is that the DDC transistor design parameters for the matched target P-FET Vt and N-FET Vts are determined. If the cell leakage of the ported bit cell does not match, then both P-FET Vt and N-FET Vt are increased 542, whereupon the loop goes back to the first step of the parameter determinations for the ported bit cell which here is read SNM 525. At a step 545, the transistors are matched, and the DDC transistor design parameters for fabricating in silicon for the target P-FET Vt and N-FET Vt are determined.



FIG. 6 shows graphs of read SNM, read current, and write margin obtained from simulations performed for a bit cell using conventional transistors with halo implants for a 65 nm process. The bit cell was designed to operate at a target power supply voltage (VDD) of 1.2V. The read SNM graph corresponding to 6σ mismatch shows that the bit cell has a VDDmin of 0.7 V, i.e., the lowest value of VDD at which the SRAM can operate (has sufficient read SNM, read current, and write margin) is approximately 0.7V. The read SNM graph corresponding to 10σ mismatch shows that the bit cell does not have a positive read SNM at any value of VDD. Therefore, 6σ is the mismatch required to provide yield to relatively small SRAM arrays, assuming no other systematic process differences.



FIG. 7 shows graphs of read SNM, read current, and write margin obtained from simulations performed for a bit cell using DDC transistors for a 65 nm process. The DDC transistors of the bit cell simulated for FIG. 7 have the same gate width and gate length as the corresponding transistors (i.e., the conventional transistors with halo implants) for the bit cell simulated for FIG. 6. The DDC threshold voltages for the bit cell were optimized in accordance with one of the methods described above. The bit cell of FIG. 7 was designed to operate at a target power supply voltage (VDD) of 0.7V. The read SNM graph corresponding to 6σ shows that the bit cell has a VDDmin of 0.4 V, i.e., the lowest value of VDD at which the SRAM can operate (has a positive read SNM) is approximately 0.4V. The read SNM graph corresponding to 10σ shows that the bit cell has a VDDmin of 0.6V, i.e., the bit cell has sufficient read SNM, read current, and write margin down to a power supply voltage of 0.6V. FIGS. 6-7 illustrate that a ported bit cell using DDC transistors can have a lower VDDmin and acceptable yield for larger SRAM arrays at a given voltage as compared to a source bit cell using conventional transistors with halo implants where both bit cells use transistors of the same W/L and the ported bit cell has transistors with optimized VTP and VTN.



FIGS. 11A-11B show SRAM yield as a function of VDD and AVT in the presence of process drift, for an SRAM using DDC transistors. FIGS. 11C-11D show SRAM yield as a function of VDD and AVT with no drift in the process mean assumed, for an SRAM using DDC transistors. It is observed from FIGS. 11A-11D that the improved characteristics of DDC transistors can result in a bit cell with a low VDDmin. In addition, a DDC transistor based bit cell can have a lower VDDmin as compared to a conventional bit cell.



FIG. 8 shows graphs of the read SNM, write margin, and read current for a bit cell using DDC transistors and a bit cell using conventional transistors with halo implants. Both bit cells use transistors of the same size. Put another way, the DDC bit cell is a drop-in replacement for the conventional transistor based bit cell, and both bit cells use a 65 nm fabrication process in this exemplary embodiment. FIG. 8 shows that the DDC transistor based bit cell has a higher read SNM, a higher write margin, and a higher read current (resulting is faster SRAMs), and therefore has better performance characteristics for all values of VDD where the cell is operational. In addition, the VDDmin of the DDC transistor based bit cell is 0.4V, whereas the VDDmin of the conventional transistor based SRAM cell is 0.7V.



FIG. 9 shows graphs of read SNM and write margin for an SRAM cell using DDC transistors and a bit cell using conventional transistors for simulations performed at 6σ and the same AVT. The AVT used for these simulations is the AVT of a baseline process using conventional transistor with halo implants. The DDC transistor based bit cell has a higher read SNM as compared to the conventional transistor based bit cell because the enhanced body coefficient of the DDC transistor provides higher read SNM independent of AVT. The improved current characteristic of the DDC transistor can also contribute to the higher read SNM, but usually to a lesser extent. FIG. 9 also illustrates that the VDDmin corresponding to the read SNM is lower for DDC transistor based bit cell as compared to a conventional transistor based bit cell with substantially similar sized transistors.



FIGS. 10A-10B show cell leakage, read current, read SNM and write margin, obtained from Monte Carlo simulations performed for a bit cell using DDC transistors and a bit cell using conventional transistors. These figures show that the bit cell using DDC transistors has a tighter distribution of cell leakage, read current, read SNM and write margin as compared to the bit cell using conventional transistors. The distribution indicated in red corresponds to a bit cell using DDC transistors that have a better AVT. The distribution indicated in green corresponds to a bit cell using DDC transistors that has the same AVT as the bit cell using conventional transistors. These figures show that the bit cell using DDC transistors has a tighter distribution of cell leakage, read current, read SNM and write margin when the DDC transistors have a better AVT, as well as when the DDC transistors have the same AVT as compared to the bit cell using conventional transistors.


The various methods for optimizing the bit cell can be used to set the transistor W/L and/or the threshold voltages VTP and VTN for the transistors used in the ported bit cell to maximize the margins. In one embodiment, the transistor sizes are modified during the optimization process such that no layout database changes are required, i.e., the transistor size changes are made within a range that can be obtained be performing an optional layout database resizing. In one embodiment, circuit simulation can be used to optimize the W/L, VTP, and/or VTN of the constituent transistors of the bit cell. In one embodiment, a built-in optimization provided by the circuit simulation program can be used, and a predetermined objective function can be defined to optimize the W/L, VTP, and/or VTN of the constituent transistors of the bit cell. In alternative embodiments, other optimization methods can be used, such as Simplex, or geometric programming. In one embodiment, weights are assigned to each of the SRAM performance characteristics that are to be optimized (such as read SNM, write margin, read current, and cell leakage), and an optimization is performed to optimize the W/L, VTP, and/or VTN of the constituent transistors of the bit cell.


Advantageously, a characteristic of DDC transistors is a reduced mismatch as compared to conventional FETs. The reduced mismatch allows for pulling in of design corners and greater flexibility in design. The characteristics of the DDC transistor allow for the response of the DDC transistor in a wider range to a change in the body bias voltage applied to the screening region. More specifically, the enhanced body coefficient of the DDC transistor can allow a broad range of ON-current and the OFF-current that depends on the body bias voltage applied to the screening region, as compared to the body bias voltage applied to a conventional device. In addition, the DDC transistors have a lower σVT than conventional devices. The lower σVT allows for a lower minimum operating voltage VDD and a wider range of available nominal values of VT. The enhanced body coefficient of the DDC transistor can also allow a broad range of threshold voltage that depends on the body bias voltage applied to the screening region, as compared to the body bias voltage applied to a conventional device. The screening region allows effective body biasing for enhanced control of the operating conditions of a device or a group of devices to be set by controlling the applied body bias voltage. In addition, different operating conditions can be set for devices or groups of devices as a result of applying different body bias voltages.


One illustrative method of transforming a first set of transistor electrical parameter targets of transistors in a circuit designed to be implemented in a first semiconductor manufacturing process to a second set of transistor electrical parameter targets for that circuit in a second semiconductor manufacturing process to obtain equal or better performance of the circuit when the circuit is implemented in the second semiconductor manufacturing process as compared to being implemented in the first semiconductor manufacturing process, includes a) obtaining a first set of performance constraints for the circuit implemented in the first semiconductor manufacturing process; b) providing a plurality of sets of transistor model parameters; c) associating transistor model parameters from a first set of the plurality of sets of transistor model parameters with each of the transistors models in a simulation representation of the circuit; d) determining whether the simulation representation of the circuit at least meets the performance constraints; and e) assigning, if the determination of step (d) is affirmative, the associated transistor model parameters as transistor electrical parameter targets for each transistor of the circuit that is to be implemented in the second semiconductor manufacturing process; wherein each of the plurality of sets of transistor model parameters is associated with one or more physical structures produced by the second semiconductor manufacturing process. In another embodiment, the method includes associating, if the determination of step (d) is negative, transistor model parameters from a second set of the plurality of sets of transistor model parameters with each of the transistor models in the simulation representation of the circuit; and then g) repeating steps (d) and (e).


In typical embodiments, the first set of transistor parameter targets includes a first set of threshold voltage targets, and the second set of transistor parameter targets includes a second set of threshold voltage targets. In various embodiments, a set of timing constraints is associated with the circuit; and equal or better performance includes at least meeting all the timing constraints of the set of timing constraints. In some embodiments, equal or better performance further includes having lower leakage current in the circuit, where the leakage current is due to off-state leakage current arising from short-channel effects.


In various embodiments, the first semiconductor manufacturing process provides transistors only of the legacy type structure; and the second semiconductor manufacturing process provides transistors of at least the DDC type transistor structure. It is noted that the transistors of the DDC type include P-FETs and N-FETs.


In various embodiments, obtaining the first set of performance constraints comprises simulating the circuit model of the circuit using the first set of transistor electrical parameter targets as transistor model parameters.


In another embodiment, a method of porting a static memory bit cell from a first semiconductor process to a second semiconductor process, includes receiving a layout for the static memory bit cell; obtaining a first set of performance constraints for the static memory bit cell implemented in the first semiconductor process; determining yield targets as a function of power supply voltage for the static memory bit cell; providing a plurality of sets of transistor model parameters; associating transistor model parameters from a first set of the plurality of sets of transistor model parameters with each of the transistor models in a simulation representation of the static memory bit cell; determining whether the simulation representation of the static memory bit cell at least meets the performance constraints; and assigning, if the determination is affirmative, the associated transistor model parameters as transistor electrical parameter targets for each transistor of the static memory bit cell that is to be implemented in the second semiconductor manufacturing process; wherein each of the plurality of sets of transistor model parameters is associated with one or more physical structures produced by the second semiconductor process.


In another embodiment, a method of porting an integrated circuit design from a first process to a second process, includes a) determining the sizes of transistors in a source bit cell; b) determining read static noise margin, write margin, read current, and cell leakage of the source bit cell; c) producing an initial simulation model of a ported bit cell by modifying the simulation model of source bit cell so that an initial set of DDC transistor models replace the original transistor models of the source bit cell; d) determining whether a worst case read static noise margin of the simulation model of the ported bit cell is within a first predetermined constraint; e) reducing, if the determination of step (d) negative, VTP and returning to step (d); f) determining whether a worst case write margin of the simulation model of the ported bit cell is within a second predetermined constraint; g) increasing VTP and/or reducing VTN and returning to step (d); h) determining whether a read current of the simulation model of the ported bit cell is within a third predetermined constraint; i) reducing, if the determination of step (h) is negative, VTN and returning to step (d); j) determining whether a cell leakage of the simulation model of the ported bit cell is within a fourth predetermined constraint; k) increasing, if the determination of step (j) is negative, VTP and VTN; and l) determining the DDC transistor process parameters to achieve the targeted VTP and VTN.


It is noted that selectively doping the DDC transistors may further include a step of using a mask pattern that is designed based upon the optimized width and length of the DDC transistors.


CONCLUSION

It is to be appreciated that the Detailed Description section, and not the Abstract of the Disclosure, is intended to be used to interpret the Claims. The Abstract of the Disclosure may set forth one or more, but not all, illustrative embodiments of the invention, and thus, is not intended to limit the invention or the subjoined Claims in any way.


The exemplary embodiments described herein are provided for illustrative purposes, and are not limiting. Other embodiments are possible, and modifications may be made to the exemplary embodiments within the spirit and scope of the invention. Therefore, the Detailed Description is not meant to limit the invention. Rather, the scope of the invention is defined only in accordance with the subjoined Claims and their equivalents.


It is to be understood that the phraseology or terminology herein is for the purpose of description and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by those skilled in relevant art(s) in light of the teachings herein.


It will be apparent to those skilled in the relevant art(s) that various changes in form and detail can be made therein without departing from the spirit and scope of the invention. Thus the invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the subjoined Claims and their equivalents.

Claims
  • 1. A method of fabricating an integrated circuit comprising: producing a second transistor circuit design based on a first transistor circuit design, the second transistor circuit design having target transistors, the target transistors including a plurality of deeply depleted channel (DDC) transistors, the second design having an initial threshold voltage value assigned to each of the target transistors;determining targets defining a set of design constraints;solving objective functions with the set of design constraints to produce optimized threshold voltage values for each of the target transistors;selectively doping a semiconductor substrate to form a plurality of highly-doped screening regions over which a corresponding plurality of transistor gates will respectively be formed;selectively doping the semiconductor substrate in regions that are associated with the target transistors to dopant concentrations that set the threshold voltage values for each of the target transistors to a desired range of values; andforming an undoped semiconductor layer above the highly doped screening region.
  • 2. The method of claim 1, wherein the first transistor circuit design is a bit cell and the second transistor circuit design is a bit cell.
  • 3. The method of claim 1, wherein the target transistors of the second transistor circuit design each have a gate length and a gate width; and further comprising:determining targets for the gate length and gate width of the target transistors of the second transistor circuit design.
  • 4. The method of claim 3, wherein the optimized the threshold voltage values for each of the target transistors comprises an optimized gate length or an optimized gate width for each of the DDC transistors.
  • 5. The method of claim 4, wherein selectively doping the target transistors comprises using a mask pattern that is designed based upon the optimized gate length or the optimized gate width the of each of the DDC transistors.
  • 6. The method of claim 2, wherein the bit cell is a six-transistor static random access memory (SRAM) cell.
  • 7. The method of claim 1, wherein forming an undoped semiconductor layer comprises growing an epitaxial layer.
  • 8. The method of claim 1, wherein at least one of the plurality of DDC transistors is an n-channel transistor.
  • 9. The method of claim 1, wherein at least one of the plurality of DDC transistors is a p-channel transistor.
  • 10. The method of claim 1, further comprising forming source/drain extension regions above the highly-doped screening region.
  • 11. An integrated circuit structure comprising: a plurality of target transistors configured in a second transistor circuit design based on a first transistor circuit design, the plurality of target transistors including a plurality of deeply depleted channel (DDC) transistors, the second transistor circuit design having an initial threshold voltage value assigned to each one of the plurality of target transistors;wherein the each target transistor of the plurality of target transistors has a functional target defined by a set of design constraints, and the initial threshold voltage value is specified by a solution of objective functions within the design constraints;a semiconductor substrate having a plurality of highly-doped screening regions each one under a corresponding one of a plurality of target transistor gates;a portion of the plurality of highly-doped screening regions further comprising doped regions configured to set the threshold voltage value for each of the target transistors;an undoped semiconductor layer formed above the highly doped screening region.
  • 12. The structure of claim 11, wherein the first and the second transistor circuit designs are memory bit cells.
  • 13. The structure of claim 12, wherein the first and the second transistor circuit designs are static random access memory (SRAM) cells.
  • 14. The structure of claim 13, wherein the memory bit cell is a six-transistor SRAM cell.
  • 15. The structure of claim 11, wherein the undoped semiconductor layer comprises an epitaxial layer.
  • 16. The structure of claim 11, wherein at least one of the plurality of DDC transistors is an n-channel transistor.
  • 17. The structure of claim 11, wherein at least one of the plurality of DDC transistors is a p-channel transistor.
  • 18. The structure of claim 11, further comprising source/drain extension regions above the highly-doped screening region.
CROSS-REFERENCE TO RELATED APPLICATIONS

This is a continuation of non-provisional application Ser. No. 14/171,224, entitled “Porting A Circuit Design From A First Semiconductor Process To A Second Semiconductor Process,” filed 3 Feb. 2014, which is a continuation of non-provisional application Ser. No. 13/592,122, entitled “Porting A Circuit Design From A First Semiconductor Process To A Second Semiconductor Process,” filed 22 Aug. 2012, which claims the benefit of U.S. Provisional Application 61/526,648, entitled, “Integrated Circuit Devices and Methods,” and filed 23 Aug. 2011, the entirety of each is hereby incorporated by reference.

US Referenced Citations (512)
Number Name Date Kind
3958266 Athanas May 1976 A
4000504 Berger Dec 1976 A
4021835 Etoh et al. May 1977 A
4242691 Kotani et al. Dec 1980 A
4276095 Beilstein, Jr. et al. Jun 1981 A
4315781 Henderson Feb 1982 A
4518926 Swanson May 1985 A
4559091 Allen et al. Dec 1985 A
4578128 Mundt et al. Mar 1986 A
4617066 Vasudev Oct 1986 A
4662061 Malhi May 1987 A
4761384 Neppl et al. Aug 1988 A
4780748 Cunningham et al. Oct 1988 A
4819043 Yazawa et al. Apr 1989 A
4885477 Bird et al. Dec 1989 A
4908681 Nishida et al. Mar 1990 A
4945254 Robbins Jul 1990 A
4956311 Liou et al. Sep 1990 A
5034337 Mosher et al. Jul 1991 A
5144378 Hikosaka Sep 1992 A
5156989 Williams et al. Oct 1992 A
5156990 Mitchell Oct 1992 A
5166765 Lee et al. Nov 1992 A
5208473 Komori et al. May 1993 A
5294821 Iwamatsu Mar 1994 A
5298763 Shen et al. Mar 1994 A
5369288 Usuki Nov 1994 A
5373186 Schubert et al. Dec 1994 A
5384476 Nishizawa et al. Jan 1995 A
5426328 Yilmaz et al. Jun 1995 A
5444008 Han et al. Aug 1995 A
5552332 Tseng et al. Sep 1996 A
5559368 Hu et al. Sep 1996 A
5608253 Liu et al. Mar 1997 A
5622880 Burr et al. Apr 1997 A
5624863 Helm et al. Apr 1997 A
5625568 Edwards et al. Apr 1997 A
5641980 Yamaguchi et al. Jun 1997 A
5663583 Matloubian et al. Sep 1997 A
5712501 Davies et al. Jan 1998 A
5719422 Burr et al. Feb 1998 A
5726488 Watanabe et al. Mar 1998 A
5726562 Mizuno Mar 1998 A
5731626 Eaglesham et al. Mar 1998 A
5736419 Naem Apr 1998 A
5753555 Hada May 1998 A
5754826 Gamal et al. May 1998 A
5756365 Kakumu May 1998 A
5763921 Okumura et al. Jun 1998 A
5780899 Hu et al. Jul 1998 A
5847419 Imai et al. Dec 1998 A
5856003 Chiu Jan 1999 A
5861334 Rho Jan 1999 A
5877049 Liu et al. Mar 1999 A
5885876 Dennen Mar 1999 A
5889315 Farrenkopf et al. Mar 1999 A
5895954 Yasumura et al. Apr 1999 A
5899714 Farremkopf et al. May 1999 A
5918129 Fulford, Jr. et al. Jun 1999 A
5923067 Voldman Jul 1999 A
5923987 Burr Jul 1999 A
5936868 Hall Aug 1999 A
5946214 Heavlin et al. Aug 1999 A
5985705 Seliskar Nov 1999 A
5989963 Luning et al. Nov 1999 A
6001695 Wu Dec 1999 A
6020227 Bulucea Feb 2000 A
6043139 Eaglesham et al. Mar 2000 A
6060345 Hause et al. May 2000 A
6060364 Maszara et al. May 2000 A
6066533 Yu May 2000 A
6072217 Burr Jun 2000 A
6087210 Sohn Jul 2000 A
6087691 Hamamoto Jul 2000 A
6088518 Hsu Jul 2000 A
6091286 Blauschild Jul 2000 A
6096611 Wu Aug 2000 A
6103562 Son et al. Aug 2000 A
6121153 Kikkawa Sep 2000 A
6147383 Kuroda Nov 2000 A
6153920 Gossmann et al. Nov 2000 A
6157073 Lehongres Dec 2000 A
6175582 Naito et al. Jan 2001 B1
6184112 Maszara et al. Feb 2001 B1
6190979 Radens et al. Feb 2001 B1
6194259 Nayak et al. Feb 2001 B1
6198157 Ishida et al. Mar 2001 B1
6218892 Soumyanath et al. Apr 2001 B1
6218895 De et al. Apr 2001 B1
6221724 Yu et al. Apr 2001 B1
6229188 Aoki et al. May 2001 B1
6232164 Tsai et al. May 2001 B1
6235597 Miles May 2001 B1
6245618 An et al. Jun 2001 B1
6268640 Park et al. Jul 2001 B1
6271070 Kotani et al. Aug 2001 B2
6271551 Schmitz et al. Aug 2001 B1
6288429 Iwata et al. Sep 2001 B1
6297132 Zhang et al. Oct 2001 B1
6300177 Sundaresan et al. Oct 2001 B1
6313489 Letavic et al. Nov 2001 B1
6319799 Ouyang et al. Nov 2001 B1
6320222 Forbes et al. Nov 2001 B1
6323525 Noguchi et al. Nov 2001 B1
6326666 Bernstein et al. Dec 2001 B1
6330526 Yasuda Dec 2001 B1
6335233 Cho et al. Jan 2002 B1
6358806 Puchner Mar 2002 B1
6380019 Yu et al. Apr 2002 B1
6391752 Colinge et al. May 2002 B1
6426260 Hshieh Jul 2002 B1
6426279 Huster et al. Jul 2002 B1
6432754 Assaderaghi et al. Aug 2002 B1
6444550 Hao et al. Sep 2002 B1
6444551 Ku et al. Sep 2002 B1
6449749 Stine Sep 2002 B1
6461920 Shirahata Oct 2002 B1
6461928 Rodder Oct 2002 B2
6472278 Marshall et al. Oct 2002 B1
6482714 Hieda et al. Nov 2002 B1
6489224 Burr Dec 2002 B1
6492232 Tang et al. Dec 2002 B1
6500739 Wang et al. Dec 2002 B1
6503801 Rouse et al. Jan 2003 B1
6503805 Wang et al. Jan 2003 B2
6506640 Ishida et al. Jan 2003 B1
6518623 Oda et al. Feb 2003 B1
6521470 Lin et al. Feb 2003 B1
6534373 Yu Mar 2003 B1
6541328 Whang et al. Apr 2003 B2
6541829 Nishinohara et al. Apr 2003 B2
6548842 Bulucea et al. Apr 2003 B1
6551885 Yu Apr 2003 B1
6552377 Yu Apr 2003 B1
6573129 Hoke et al. Jun 2003 B2
6576535 Drobny et al. Jun 2003 B2
6600200 Lustig et al. Jul 2003 B1
6606729 Gross et al. Aug 2003 B2
6620671 Wang et al. Sep 2003 B1
6624488 Kim Sep 2003 B1
6627473 Oikawa et al. Sep 2003 B1
6630710 Augusto Oct 2003 B1
6660605 Liu Dec 2003 B1
6662350 Fried et al. Dec 2003 B2
6667200 Sohn et al. Dec 2003 B2
6670260 Yu et al. Dec 2003 B1
6693333 Yu Feb 2004 B1
6698000 Tanaka Feb 2004 B2
6730568 Sohn May 2004 B2
6737724 Hieda et al. May 2004 B2
6743291 Ang et al. Jun 2004 B2
6743684 Liu Jun 2004 B2
6751519 Satya et al. Jun 2004 B1
6753230 Sohn et al. Jun 2004 B2
6760900 Rategh et al. Jul 2004 B2
6770944 Nishinohara et al. Aug 2004 B2
6787424 Yu Sep 2004 B1
6795800 Lee Sep 2004 B1
6797553 Adkisson et al. Sep 2004 B2
6797602 Kluth et al. Sep 2004 B1
6797994 Hoke et al. Sep 2004 B1
6808004 Kamm et al. Oct 2004 B2
6808994 Wang Oct 2004 B1
6813750 Usami et al. Nov 2004 B2
6821825 Todd et al. Nov 2004 B2
6821852 Rhodes Nov 2004 B2
6822297 Nandakumar et al. Nov 2004 B2
6831292 Currie et al. Dec 2004 B2
6835639 Rotondaro et al. Dec 2004 B2
6850877 Sengupta Feb 2005 B1
6852602 Kanzawa et al. Feb 2005 B2
6852603 Chakravarthi et al. Feb 2005 B2
6881641 Wieczorek et al. Apr 2005 B2
6881987 Sohn Apr 2005 B2
6891439 Jaehne et al. May 2005 B2
6893947 Martinez et al. May 2005 B2
6900519 Cantell et al. May 2005 B2
6901564 Stine et al. May 2005 B2
6901570 Lu May 2005 B2
6916698 Mocuta et al. Jul 2005 B2
6917237 Tschanz et al. Jul 2005 B1
6927463 Iwata et al. Aug 2005 B2
6928128 Sidiropoulos Aug 2005 B1
6930007 Bu et al. Aug 2005 B2
6930360 Yamauchi et al. Aug 2005 B2
6957163 Ando Oct 2005 B2
6963090 Passlack et al. Nov 2005 B2
6995397 Yamashita et al. Feb 2006 B2
7002214 Boyd et al. Feb 2006 B1
7008836 Algotsson et al. Mar 2006 B2
7013359 Li Mar 2006 B1
7015546 Herr et al. Mar 2006 B2
7015741 Tschanz et al. Mar 2006 B2
7022559 Barnak et al. Apr 2006 B2
7036098 Eleyan et al. Apr 2006 B2
7038258 Liu et al. May 2006 B2
7039881 Regan May 2006 B2
7045456 Murto et al. May 2006 B2
7057216 Ouyang et al. Jun 2006 B2
7061058 Chakravarthi et al. Jun 2006 B2
7064039 Liu Jun 2006 B2
7064399 Babcock et al. Jun 2006 B2
7071103 Chan et al. Jul 2006 B2
7078325 Curello et al. Jul 2006 B2
7078776 Nishinohara et al. Jul 2006 B2
7089513 Bard et al. Aug 2006 B2
7089515 Hanafi et al. Aug 2006 B2
7091093 Noda et al. Aug 2006 B1
7105399 Dakshina-Murthy et al. Sep 2006 B1
7109099 Tan et al. Sep 2006 B2
7119381 Passlack Oct 2006 B2
7122411 Mouli Oct 2006 B2
7127687 Signore Oct 2006 B1
7132323 Haensch et al. Nov 2006 B2
7169675 Tan et al. Jan 2007 B2
7170120 Datta et al. Jan 2007 B2
7176137 Perng et al. Feb 2007 B2
7186598 Yamauchi et al. Mar 2007 B2
7189627 Wu et al. Mar 2007 B2
7199430 Babcock et al. Apr 2007 B2
7202517 Dixit et al. Apr 2007 B2
7208354 Bauer Apr 2007 B2
7211871 Cho May 2007 B2
7221021 Wu et al. May 2007 B2
7223646 Miyashita et al. May 2007 B2
7226833 White et al. Jun 2007 B2
7226843 Weber et al. Jun 2007 B2
7230680 Fujisawa et al. Jun 2007 B2
7235822 Li Jun 2007 B2
7256639 Koniaris et al. Aug 2007 B1
7259428 Inaba Aug 2007 B2
7260562 Czajkowski et al. Aug 2007 B2
7294877 Rueckes et al. Nov 2007 B2
7297994 Wieczorek et al. Nov 2007 B2
7301208 Handa et al. Nov 2007 B2
7304350 Misaki Dec 2007 B2
7307471 Gammie et al. Dec 2007 B2
7312500 Miyashita et al. Dec 2007 B2
7323754 Ema et al. Jan 2008 B2
7332439 Lindert et al. Feb 2008 B2
7348629 Chu et al. Mar 2008 B2
7354833 Liaw Apr 2008 B2
7380225 Joshi et al. May 2008 B2
7398497 Sato et al. Jul 2008 B2
7402207 Besser et al. Jul 2008 B1
7402872 Murthy et al. Jul 2008 B2
7416605 Zollner et al. Aug 2008 B2
7427788 Li et al. Sep 2008 B2
7442971 Wirbeleit et al. Oct 2008 B2
7449733 Inaba et al. Nov 2008 B2
7462908 Bol et al. Dec 2008 B2
7469164 Du-Nour Dec 2008 B2
7470593 Rouh et al. Dec 2008 B2
7485536 Jin et al. Feb 2009 B2
7487474 Ciplickas et al. Feb 2009 B2
7491988 Tolchinsky et al. Feb 2009 B2
7494861 Chu et al. Feb 2009 B2
7496862 Chang et al. Feb 2009 B2
7496867 Turner et al. Feb 2009 B2
7498637 Yamaoka et al. Mar 2009 B2
7501324 Babcock et al. Mar 2009 B2
7503020 Allen et al. Mar 2009 B2
7507999 Kusumoto et al. Mar 2009 B2
7514766 Yoshida Apr 2009 B2
7521323 Surdeanu et al. Apr 2009 B2
7531393 Doyle et al. May 2009 B2
7531836 Liu et al. May 2009 B2
7538364 Twynam May 2009 B2
7538412 Schulze et al. May 2009 B2
7562233 Sheng et al. Jul 2009 B1
7564105 Chi et al. Jul 2009 B2
7566600 Mouli Jul 2009 B2
7569456 Ko et al. Aug 2009 B2
7586322 Xu et al. Sep 2009 B1
7592241 Takao Sep 2009 B2
7595243 Bulucea et al. Sep 2009 B1
7598142 Ranade et al. Oct 2009 B2
7605041 Ema et al. Oct 2009 B2
7605060 Meunier-Beillard et al. Oct 2009 B2
7605429 Bernstein et al. Oct 2009 B2
7608496 Chu Oct 2009 B2
7615802 Elpelt et al. Nov 2009 B2
7622341 Chudzik et al. Nov 2009 B2
7638380 Pearce Dec 2009 B2
7642140 Bae et al. Jan 2010 B2
7644377 Saxe et al. Jan 2010 B1
7645665 Kubo et al. Jan 2010 B2
7651920 Siprak Jan 2010 B2
7655523 Babcock et al. Feb 2010 B2
7673273 Madurawe et al. Mar 2010 B2
7675126 Cho Mar 2010 B2
7675317 Perisetty Mar 2010 B2
7678638 Chu et al. Mar 2010 B2
7681628 Joshi et al. Mar 2010 B2
7682887 Dokumaci et al. Mar 2010 B2
7683442 Burr et al. Mar 2010 B1
7696000 Liu et al. Apr 2010 B2
7704822 Jeong Apr 2010 B2
7704844 Zhu et al. Apr 2010 B2
7709828 Braithwaite et al. May 2010 B2
7723750 Zhu et al. May 2010 B2
7737472 Kondo et al. Jun 2010 B2
7741138 Cho Jun 2010 B2
7741200 Cho et al. Jun 2010 B2
7745270 Shah et al. Jun 2010 B2
7750374 Capasso et al. Jul 2010 B2
7750381 Hokazono et al. Jul 2010 B2
7750405 Nowak Jul 2010 B2
7750682 Bernstein et al. Jul 2010 B2
7755144 Li et al. Jul 2010 B2
7755146 Helm et al. Jul 2010 B2
7759206 Luo et al. Jul 2010 B2
7759714 Itoh et al. Jul 2010 B2
7761820 Berger et al. Jul 2010 B2
7795677 Bangsaruntip et al. Sep 2010 B2
7808045 Kawahara et al. Oct 2010 B2
7808410 Kim et al. Oct 2010 B2
7811873 Mochizuki Oct 2010 B2
7811881 Cheng et al. Oct 2010 B2
7818702 Mandelman et al. Oct 2010 B2
7821066 Lebby et al. Oct 2010 B2
7829402 Matocha et al. Nov 2010 B2
7831873 Trimberger et al. Nov 2010 B1
7846822 Seebauer et al. Dec 2010 B2
7855118 Hoentschel et al. Dec 2010 B2
7859013 Chen et al. Dec 2010 B2
7863163 Bauer Jan 2011 B2
7867835 Lee et al. Jan 2011 B2
7883977 Babcock et al. Feb 2011 B2
7888205 Herner et al. Feb 2011 B2
7888747 Hokazono Feb 2011 B2
7895546 Lahner et al. Feb 2011 B2
7897495 Ye et al. Mar 2011 B2
7906413 Cardone et al. Mar 2011 B2
7906813 Kato Mar 2011 B2
7910419 Fenouillet-Beranger et al. Mar 2011 B2
7919791 Flynn et al. Apr 2011 B2
7926018 Moroz et al. Apr 2011 B2
7935984 Nakano May 2011 B2
7937252 Onodera et al. May 2011 B2
7941776 Majumder et al. May 2011 B2
7945800 Gomm et al. May 2011 B2
7948008 Liu et al. May 2011 B2
7952147 Ueno et al. May 2011 B2
7960232 King et al. Jun 2011 B2
7960238 Kohli et al. Jun 2011 B2
7968400 Cai Jun 2011 B2
7968411 Williford Jun 2011 B2
7968440 Seebauer Jun 2011 B2
7968459 Bedell et al. Jun 2011 B2
7989900 Haensch et al. Aug 2011 B2
7994573 Pan Aug 2011 B2
8004024 Furukawa et al. Aug 2011 B2
8012827 Yu et al. Sep 2011 B2
8029620 Kim et al. Oct 2011 B2
8039332 Bernard et al. Oct 2011 B2
8046598 Lee Oct 2011 B2
8048791 Hargrove et al. Nov 2011 B2
8048810 Tsai et al. Nov 2011 B2
8051340 Cranford, Jr. et al. Nov 2011 B2
8053340 Colombeau et al. Nov 2011 B2
8063466 Kurita Nov 2011 B2
8067279 Sadra et al. Nov 2011 B2
8067280 Wang et al. Nov 2011 B2
8067302 Li Nov 2011 B2
8076719 Zeng et al. Dec 2011 B2
8097529 Krull et al. Jan 2012 B2
8103983 Agarwal et al. Jan 2012 B2
8105891 Yeh et al. Jan 2012 B2
8106424 Schruefer Jan 2012 B2
8106481 Rao Jan 2012 B2
8110487 Griebenow et al. Feb 2012 B2
8114761 Mandrekar et al. Feb 2012 B2
8119482 Bhalla et al. Feb 2012 B2
8120069 Hynecek Feb 2012 B2
8129246 Babcock et al. Mar 2012 B2
8129797 Chen et al. Mar 2012 B2
8134159 Hokazono Mar 2012 B2
8143120 Kerr et al. Mar 2012 B2
8143124 Challa et al. Mar 2012 B2
8143678 Kim et al. Mar 2012 B2
8148774 Mori et al. Apr 2012 B2
8163619 Yang et al. Apr 2012 B2
8165845 Seebeck et al. Apr 2012 B1
8169002 Chang et al. May 2012 B2
8170857 Joshi et al. May 2012 B2
8173499 Chung et al. May 2012 B2
8173502 Yan et al. May 2012 B2
8176461 Trimberger May 2012 B1
8178430 Kim et al. May 2012 B2
8179530 Levy et al. May 2012 B2
8183096 Wirbeleit May 2012 B2
8183107 Mathur et al. May 2012 B2
8185865 Gupta et al. May 2012 B2
8187959 Pawlak et al. May 2012 B2
8188542 Yoo et al. May 2012 B2
8196545 Kurosawa Jun 2012 B2
8201122 Dewey, III et al. Jun 2012 B2
8204714 Lu Jun 2012 B2
8214190 Joshi et al. Jul 2012 B2
8217423 Liu et al. Jul 2012 B2
8225255 Ouyang et al. Jul 2012 B2
8227307 Chen et al. Jul 2012 B2
8236661 Dennard et al. Aug 2012 B2
8239803 Kobayashi Aug 2012 B2
8247300 Babcock et al. Aug 2012 B2
8255843 Chen et al. Aug 2012 B2
8258026 Bulucea Sep 2012 B2
8266567 El Yahyaoui et al. Sep 2012 B2
8286180 Foo Oct 2012 B2
8288798 Passlack Oct 2012 B2
8299562 Li et al. Oct 2012 B2
8324059 Guo et al. Dec 2012 B2
8423341 Lu Apr 2013 B2
20010014495 Yu Aug 2001 A1
20020042184 Nandakumar et al. Apr 2002 A1
20030006415 Yokogawa et al. Jan 2003 A1
20030047763 Hieda et al. Mar 2003 A1
20030122203 Nishinohara et al. Jul 2003 A1
20030173626 Burr Sep 2003 A1
20030183856 Wieczorek et al. Oct 2003 A1
20030215992 Sohn et al. Nov 2003 A1
20040075118 Heinemann et al. Apr 2004 A1
20040075143 Bae et al. Apr 2004 A1
20040084731 Matsuda et al. May 2004 A1
20040087090 Grudowski et al. May 2004 A1
20040126947 Sohn Jul 2004 A1
20040175893 Vatus et al. Sep 2004 A1
20040180488 Lee Sep 2004 A1
20050056877 Rueckes et al. Mar 2005 A1
20050106824 Alberto et al. May 2005 A1
20050116282 Pattanayak et al. Jun 2005 A1
20050250289 Babcock et al. Nov 2005 A1
20050280075 Ema et al. Dec 2005 A1
20060017100 Bol et al. Jan 2006 A1
20060022270 Boyd et al. Feb 2006 A1
20060049464 Rao Mar 2006 A1
20060068555 Zhu et al. Mar 2006 A1
20060068586 Pain Mar 2006 A1
20060071278 Takao Apr 2006 A1
20060091481 Li et al. May 2006 A1
20060154428 Dokumaci Jul 2006 A1
20060157794 Doyle et al. Jul 2006 A1
20060197158 Babcock et al. Sep 2006 A1
20060203581 Joshi et al. Sep 2006 A1
20060220114 Miyashita et al. Oct 2006 A1
20060223248 Venugopal et al. Oct 2006 A1
20070040222 Van Camp et al. Feb 2007 A1
20070117326 Tan et al. May 2007 A1
20070158790 Rao Jul 2007 A1
20070212861 Chidambarrao et al. Sep 2007 A1
20070238253 Tucker Oct 2007 A1
20080067589 Ito et al. Mar 2008 A1
20080108208 Arevalo et al. May 2008 A1
20080138953 Challa et al. Jun 2008 A1
20080169493 Lee et al. Jul 2008 A1
20080169516 Chung Jul 2008 A1
20080197439 Goerlach et al. Aug 2008 A1
20080227250 Ranade et al. Sep 2008 A1
20080237661 Ranade et al. Oct 2008 A1
20080258198 Bojarczuk et al. Oct 2008 A1
20080272409 Sonkusale et al. Nov 2008 A1
20090003105 Itoh et al. Jan 2009 A1
20090057746 Sugll et al. Mar 2009 A1
20090057762 Bangsaruntip et al. Mar 2009 A1
20090108350 Cai et al. Apr 2009 A1
20090121298 Furukawa et al. May 2009 A1
20090134468 Tsuchiya et al. May 2009 A1
20090224319 Kohli Sep 2009 A1
20090302388 Cai et al. Dec 2009 A1
20090309140 Khamankar et al. Dec 2009 A1
20090311837 Kapoor Dec 2009 A1
20090321849 Miyamura et al. Dec 2009 A1
20100012988 Yang et al. Jan 2010 A1
20100038724 Anderson et al. Feb 2010 A1
20100100856 Mittal Apr 2010 A1
20100148153 Hudait et al. Jun 2010 A1
20100149854 Vora Jun 2010 A1
20100187641 Zhu et al. Jul 2010 A1
20100207182 Paschal Aug 2010 A1
20100262414 Joshi et al. Oct 2010 A1
20100270600 Inukai et al. Oct 2010 A1
20110059588 Kang Mar 2011 A1
20110073961 Dennard et al. Mar 2011 A1
20110074498 Thompson et al. Mar 2011 A1
20110079860 Verhulst Apr 2011 A1
20110079861 Shifren et al. Apr 2011 A1
20110093242 Lu Apr 2011 A1
20110095811 Chi et al. Apr 2011 A1
20110147828 Murthy et al. Jun 2011 A1
20110169082 Zhu et al. Jul 2011 A1
20110175170 Wang et al. Jul 2011 A1
20110180880 Chudzik et al. Jul 2011 A1
20110193164 Zhu Aug 2011 A1
20110212590 Wu et al. Sep 2011 A1
20110230039 Mowry et al. Sep 2011 A1
20110242921 Tran et al. Oct 2011 A1
20110248352 Shifren Oct 2011 A1
20110294278 Eguchi et al. Dec 2011 A1
20110309447 Arghavani et al. Dec 2011 A1
20120021594 Gurtej et al. Jan 2012 A1
20120034745 Colombeau et al. Feb 2012 A1
20120056275 Cai et al. Mar 2012 A1
20120065920 Nagumo et al. Mar 2012 A1
20120108050 Chen et al. May 2012 A1
20120132998 Kwon et al. May 2012 A1
20120138953 Cai et al. Jun 2012 A1
20120146155 Hoentschel et al. Jun 2012 A1
20120167025 Gillespie et al. Jun 2012 A1
20120187491 Zhu et al. Jul 2012 A1
20120190177 Kim et al. Jul 2012 A1
20120223363 Kronholz et al. Sep 2012 A1
Foreign Referenced Citations (14)
Number Date Country
0274278 Jul 1988 EP
0312237 Apr 1989 EP
0531621 Mar 1993 EP
0683515 Nov 1995 EP
0889502 Jan 1999 EP
1450394 Aug 2004 EP
59193066 Nov 1984 JP
4186774 Jul 1992 JP
8153873 Jun 1996 JP
8288508 Nov 1996 JP
2004087671 Mar 2004 JP
794094 Jan 2008 KR
WO2009000934 Dec 2008 WO
WO2011062788 May 2011 WO
Non-Patent Literature Citations (33)
Entry
Abiko, H et al., “A Channel Engineering Combined with Channel Epitaxy Optimization and TED Suppression for 0.15 μm n-n Gate CMOS Technology”, 1995 Symposium on VLSI Technology Digest of Technical Papers, pp. 23-24.
Chau, R et al., “A 50nm Depleted-Substrate CMOS Transistor (DST)”, Electron Device Meeting 2001, IEDM Technical Digest, IEEE International, pp. 29.1.1-29.1.4 (2001).
Ducroquet, F et al. “Fully Depleted Silicon-On-Insulator nMOSFETs with Tensile Strained High Carbon Content Sil-yCy Channel”, ECS 210th Meeting, Abstract 1033 (2006).
Ernst, T et al., “Nanoscaled MOSEFT Transistors on Strained Si, SiGe, Ge Layers: Some Integration and Electrical Properties Features”, ECS Trans. 2006, vol. 3, Issue 7, pp. 947-961 (2006).
Goesele, U et al., Diffusion Engineering by Carbon in Silicon, Mat. Res. Soc. Symp. vol. 610 (2000).
Hokazono, A et al., “Steep Channel & Halo Profiles Utilizing Boron-Diffusion-Barrier Layers (Si:C) for 32 nm Node and Beyond”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 112-113 (2008).
Hokazono, A et al., “Steep Channel Profiles in n/pMOS Controlled by Boron-Doped Si:C Layers for Continual Bulk-CMOS Scaling”, IEDM09-676 Symposium, pp. 29.1.1-29.1.4 (2009).
Holland, OW and Thomas, DK “A Method to Improve Activation of Implanted Dopants in SiC”, Oak Ridge National Laboratroy, Oak Ridge, TN (2001).
Komaragiri, R. et al., “Depletion-Free Poly Gate Electrode Architecture for Sub 100 Nanometer CMOS Devices with High-K Gate Dielectrics,” IEEE IEDM Tech Dig., San Francisco CA, 833-836, Dec. 13-15, 2004.
Kotaki, H., et al., “Novel Bulk Dynamic Threshold Voltage MOSFET (B-DTMOS) with Advanced Isolation (SITOS) and Gate to Shallow-Well Contact (SSS-C) Processes for Ultra Low Power Duel Gate CMOS”, IEDM 96, pp. 459-462 (1996).
Lavéant, P. “Incorporation, Diffusion and Agglomeration of Carbon in Silicon”, Solid State Phenomena, vols. 82-84, pp. 189-194 (2002).
Noda, K et al., “A 0.1-μm Delta-Doped MOSFET Fabricated with Post-Low-Energy Implanting Selective Epitaxy” IEEE Transactions on Electron Devices, vol. 45, No. 4, pp. 809-814 (Apr. 1998).
Ohguro, T et al., “An 0.18-μm CMOS for Mixed Digital and Analog Aplications with Zero-Volt-Vth Epitaxial-Channel MOSFET's”, IEEE Transactions on Electron Devices, vol. 46, No. 7, pp. 1378-1383 (Jul. 1999).
Pinacho, R et al., “Carbon in Silicon: Modeling of Diffusion and Clustering Mechanisms”, Journal of Applied Physics, vol. 92, No. 3, pp. 1582-1588 (Aug. 2002).
Robertson, LS et al., “The Effect of Impurities on Diffusion and Activation of Ion Implanted Boron in Silicon”, Mat. Res. Soc. Symp. vol. 610 (2000).
Scholz, R et al., “Carbon-Induced Undersaturation of Silicon Self-Interstitials”, Appl. Phys. Lett. 72(2), pp. 200-202 (Jan. 1998).
Scholz, RF et al., “The Contribution of Vacancies to Carbon Out-Diffusion in Silicon”, Appl. Phys. Lett., vol. 74, No. 3, pp. 392-394 (Jan. 1999).
Stolk, PA et al., “Physical Mechanisms of Transient Enhanced Dopant Diffusion in Ion-Implanted Silicon”, J. Appl. Phys. 81(9), pp. 6031-6050 (May 1997).
Thompson, S et al., “MOS Scaling: Transistor Challenges for the 21st Century”, Intel Technology Journal Q3′ 1998, pp. 1-19 (1998).
Wann, C. et al., “Channel Profile Optimization and Device Design for Low-Power High-Performance Dynamic-Threshold MOSFET”, IEDM 96, pp. 113-116 (1996).
Werner, P et al., “Carbon Diffusion in Silicon”, Applied Physics Letters, vol. 73, No. 17, pp. 2465-2467 (Oct. 1998).
NPL22 Yan, Ran-Hong et al., “Scaling the Si MOSFET: From Bulk to SOI to Bulk”, IEEE Transactions on Electron Devices, vol. 39, No. 7 (Jul. 1992).
Banerjee, et al. “Compensating Non-Optical Effects using Electrically-Driven Optical Proximity Correction”, Proc. of SPIE vol. 7275 7275OE (2009).
Cheng, et al. “Extremely Thin SOI (ETSOI) CMOS with Record Low Variability for Low Power System-on-Chip Applications”, Electron Devices Meeting (IEDM) (Dec. 2009).
Cheng, et al. “Fully Depleted Extremely Thin SOI Technology Fabricated by a Novel Integration Scheme Feturing Implant-Free, Zero-Silicon-Loss, and Faceted Raised Source/Drain”, Symposium on VLSI Technology Digest of Technical Papers, pp. 212-213 (2009).
Drennan, et al. “Implications of Proximity Effects for Analog Design”, Custom Integrated Circuits Conference, pp. 169-176 (Sep. 2006).
Hook, et al. “Lateral Ion Implant Straggle and Mask Proximity Effect”, IEEE Transactions on Electron Devices, vol. 50, No. 9, pp. 1946-1951 (Sep. 2003).
Hori, et al.. “A 0.1 μm CMOS with a Step Channel Profile Formed by Ultra High Vacuum CVD and In-Situ Doped Ions”, Proceedsing of the International Electron Devices Meeting, New York, IEEE, US, pp. 909-911 (Dec. 1993).
Matshuashi, et al. “High-Performance Double-Layer Epitaxial-Channel PMOSFET Compatible with a Single Gate CMOSFET”, Symposium on VLSI Technology Digest of Technical Papers, pp. 36-37 (1996).
Shao, et al,. “Boron Diffusion in Silicon: The Anomalies and Control by Point Defect Engineering”, Materials Science and Engineering R: Reports, vol. 42, No. 3-4, pp. 65-114, Nov. 1, 2003.
Shell et al. “Modeling the Well-Edge Proximity Effect in Highly Scaled MOSFETs”, IEEE Transactions on Electron Devices, vol. 53, No. 11, pp. 2792-2798 (Nov. 2006).
Samsudin, K et al., “Integrating Intrinsic Parameter Fluctuation Description into BSIMSOI to Forecast sub-15nm UTB SOI based 6T SRAM Operation”, Solid-State Electronics (50), pp. 86-93 (2006).
Wong, H et al., “Nanoscale CMOS”, Proceedings of the IEEE, Vo. 87, No. 4, pp. 537-570 (Apr. 1999).
Provisional Applications (1)
Number Date Country
61526648 Aug 2011 US
Continuations (2)
Number Date Country
Parent 14171224 Feb 2014 US
Child 14336793 US
Parent 13592122 Aug 2012 US
Child 14171224 US