Resistor and manufacturing method thereof

Information

  • Patent Grant
  • 8477006
  • Patent Number
    8,477,006
  • Date Filed
    Tuesday, August 30, 2011
    12 years ago
  • Date Issued
    Tuesday, July 2, 2013
    11 years ago
Abstract
A manufacturing method for a resistor integrated with a transistor having metal gate includes providing a substrate having a transistor region and a resistor region defined thereon, respectively forming a transistor having a dummy gate in the transistor region and a resistor in the resistor region, removing the dummy gate and portions of the resistor to form a first trench in the transistor and two second trenches in the resistor, forming at least a high-k gate dielectric layer in the first trench and the second trenches, and forming a metal gate in the first trench and metal structures respectively in the second trenches.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


The invention relates to a resistor and a manufacturing method thereof, and more particularly, to a resistor and a manufacturing method for a resistor integrated with a transistor having metal gate.


2. Description of the Prior Art


To increase the performance of transistors, metal gates are popularly used in the semiconductor field: the metal gates competent to the high dielectric constant (hereinafter abbreviated as high-K) gate dielectric layer replace the traditional polysilicon gates to be the control electrode. The metal gate approach can be categorized to the gate first process and the gate last process. And the gate last process gradually replaces the gate first process because it provides more material choices for the high-k gate dielectric layer and the metal gate.


Additionally, resistors are elements which are often used for providing regulated voltage and for filtering noise in a circuit. The resistors generally include polysilicon and silicide layers.


In the current semiconductor field, though the fabricating processes are improved with the aim of reaching high yields, it is found that integration of the manufacturing methods of those different kinds of semiconductor devices are very complicated and difficult. Therefore, a method for fabricating a resistor integrated with a transistor having metal gate is still in needed.


SUMMARY OF THE INVENTION

According to an aspect of the present invention, a manufacturing method for a resistor integrated with a transistor having metal gate, is provided. The manufacturing method includes providing a substrate having a transistor region and a resistor region defined thereon, forming a transistor having a dummy gate in the transistor region and a resistor in the resistor region, removing the dummy gate and portions of the resistor to form a first trench in the transistor and two second trenches in the resistor, forming at least a high-k gate dielectric layer in the first trench and the second trenches, and forming a metal gate in the first trench and metal structures respectively in the second trenches.


According to another aspect of the present invention, a resistor is provided. The resistor includes a substrate, a polysilicon portion positioned on the substrate, and two metal portions respectively positioned on two opposite ends of the polysilicon portion. The metal portions respectively comprise a U-shaped high-k gate dielectric layer in bottoms.


According to the manufacturing method for a resistor integrated with a transistor having metal gate provided by the present invention, the resistor and the transistor having the metal gate are integrated without increasing process complexity. Furthermore, since the resistor includes the metal portions, the materials contacted to the contacts are simplified and thus the material choice of the contacts is increased and the process window is improved. More important, since the resistor includes the metal portions which possess superior thermal stability, the stability and the performance of the resistor are both consequently improved.


These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various Figures and drawings.





BRIEF DESCRIPTION OF THE DRAWINGS


FIGS. 1-8 are schematic drawings illustrating a manufacturing method for a resistor integrated with a transistor having metal gate provided by a preferred embodiment of the present invention, wherein



FIG. 2 is a schematic drawing in a step subsequent to FIG. 1,



FIG. 3 is a schematic drawing in a step subsequent to FIG. 2,



FIG. 4 is a schematic drawing in a step subsequent to FIG. 3,



FIG. 5 is a schematic drawing in a step subsequent to FIG. 4,



FIG. 6 is a schematic drawing in a step subsequent to FIG. 5,



FIG. 7 is a schematic drawing in a step subsequent to FIG. 6, and



FIG. 8 is a schematic drawing in a step subsequent to FIG. 7.





DETAILED DESCRIPTION

Please refer to FIGS. 1-8, which are schematic drawings illustrating a manufacturing method for a resistor integrated with a transistor having metal gate provided by a preferred embodiment of the present invention. As shown in FIG. 1, a substrate 100 having a transistor region 102 and a resistor region 104 defined thereon is provided. The substrate 100 also includes a plurality of shallow trench isolations (STIs) 106 positioned in between devices for providing electrical isolation. It is noteworthy that a STI 106 is formed in the resistor region 104. Then, a dielectric layer 107, a polysilicon layer 108, and a patterned hard mask 110 are sequentially formed on the substrate 100. The patterned hard mask 110 is formed to define a gate for a transistor and a resistor. It is noteworthy that the dielectric layer 107 formed between the substrate 100 and the polysilicon layer 108 can include conventional dielectric material such as silicon oxide.


Please refer to FIG. 2. Next, an etching process is performed to etch the polysilicon layer 108 and the dielectric layer 107 with the patterned hard mask 110 serving as an etching mask. Consequently, a dummy gate 112 is formed in the transistor region 102 and a resistor 114 is formed in the resistor region 104 simultaneously. Thereafter, lightly-doped drains (LDDs) 120 are formed in the substrate 100 respectively at two sides of the dummy gate 112. After forming the LDDs 120, a spacer 122 is formed on sidewalls of the dummy gate 112 and a spacer 124 is formed on sidewalls of the resistor 114. Subsequently, a source/drain 126 is formed in the substrate 100 respectively at two sides of the dummy gate 112, particularly at two sides of the spacer 122. Accordingly, a transistor 130 having the dummy gate 112 is obtained. Furthermore, a salicide 128 can be formed on surface of the source/drain 126 of the transistor 130. After forming the transistor 130 and the resistor 114, a contact etch stop layer (CESL) 140 and an inter-layer dielectric (ILD) layer 142 are sequentially formed to cover the transistor 130 and the resistor 114. Since the steps and material choices for the abovementioned elements are well-known to those skilled in the art, those details are omitted herein in the interest of brevity. Furthermore, selective strain scheme (SSS) can be used in the preferred embodiment. For example, a selective epitaxial growth (SEG) method can be used to form the source/drain 126.


Please refer to FIG. 3. After forming the CESL 140 and the ILD layer 142, a planarization process is performed to remove a portion of the CESL 140, a portion of the ILD layer 142, and a portion of the patterned hard mask 110. Then an etching process, such as a dry etching process, is performed to remove the remnant patterned hard mask 110 and to expose the dummy gate 112 of the transistor 130 and the resistor 114. Then, a patterned hard mask 144 is formed on the substrate 100. The patterned hard mask 144 covers a portion of the resistor 114 and exposes two opposite ends of the resistor 114. Subsequently, a proper etching process is performed to remove the dummy gate 112 and portions of the resistor 114 not covered by the patterned hard mask 144. Thus a first trench 146 is formed in the transistor 130 and two the second trenches 148 are simultaneously formed in the two opposite ends of the resistor 114. It is noteworthy that because the preferred embodiment is integrated with the gate last process and the high-k last process, the dielectric layer 107 renders protection to the underneath substrate 100 during removing the dummy gate 112 of the transistor 130 and the portions of the resistor 114. The dielectric layer 107 therefore is exposed in the first trench 146 and the second trenches 148 after removing the dummy gate 112 and the portions of the resistor 114.


Please refer to FIG. 4. After forming the first trench 146 and the second trenches 148, the dielectric layer 107 exposed in the first trench and the second trenches 148 serves as an interfacial layer. Then the patterned hard mask 144 is removed and followed by sequentially forming a high-k gate dielectric layer 150 and a bottom barrier layer (not shown) on the substrate 100. The high-k gate dielectric layer 150 can be a metal oxide layer such as rare earth metal oxide. For example, the high-k gate dielectric layer 150 includes material selected from the group consisting of as hafnium oxide (HfO2), hafnium silicon oxide (HfSiO4), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al2O3), lanthanum oxide (La2O3), tantalum oxide (Ta2O5), yttrium oxide (Y2O3), zirconium oxide (ZrO2), strontium titanate oxide (SrTiO3), zirconium silicon oxide (ZrSiO4), hafnium zirconium oxide (HfZrO4), strontium bismuth tantalate, (SrBi2Ta2O9, SBT), lead zirconate titanate (PbZrxTi1-xO3, PZT), and barium strontium titanate (BaxSr1-xTiO3, BST). The bottom barrier layer can include titanium nitride (TiN), but not limited to this. In addition, an etch stop layer (not shown) can be formed on the bottom barrier layer. The etch stop layer can include tantalum nitride (TaN), but not limited to this.


Please refer to FIG. 4 again. Next, a chemical vapor deposition (CVD) or a physical vapor deposition (PVD) is performed to form a work function metal layer 152 in the first trench 146 and the second trenches 148. According to the preferred embodiment, the work function metal layer 152 can include suitable materials providing an appropriate work function for p-type transistor or n-type transistor. Therefore, the work function metal layer 152 has a work function, and the work function can be between 4.8 eV and 5.2 eV, or alternatively between 3.9 eV and 4.3 eV. Furthermore, the work function metal layer 152 can be a single-layered structure or a multilayered structure.


Please still refer to FIG. 4. Next, a blocking layer 154 is formed on the substrate 100. The blocking layer 154 can include photoresist, but not limited to this. The blocking layer 154 is formed in the first trench 146 and the second trenches 148. More important, a height of the blocking layer 154 is lower than a depth of the first trench 146 and of the second trenches 148. In other words, a surface of the blocking layer 154 is lower than an opening of the first trench 146 and of the second trenches 148.


Please refer to FIG. 5. Thereafter, an etching process is performed to remove the work function metal layer 152 and the high-k gate dielectric layer 150 not covered by the blocking layer 154 with any proper etchant. As shown in FIG. 5, the high-k gate dielectric layer 150 and the work function metal layer 152 respectively include a U shape after the etching process. And topmost portions of the U-shaped high-k gate dielectric layer 150 and of the U-shaped work function metal layer 152 are all lower than the openings of the first trench 146 and the second trenches. In other words, the high-k gate dielectric layer 150 and the work function metal layer 152 are remained only in the first trench 146 and the second trenches 148, particularly on the bottoms and sidewalls of the first trench 146 and of the second trenches 148. By performing the etching process, the high-k gate dielectric layer 150 is made not completely cover the sidewalls of the second trenches 148, therefore the electron transmission pathway are kept imperviously completed. Furthermore, since the overhangs composed of the high-k gate dielectric layer 150 and the work function metal layer 152 and formed on the openings of the first trench 146 are removed by the etching process, the gap-filling result of the following formed metal materials can be improved.


Please refer to FIG. 6. The blocking layer 154 is removed from the first trench 146 and the second trenches 148 and followed by forming a filling metal layer 156 on the work function metal layer 152 in the first trench 146 and the second trenches 148. Additionally, a top barrier layer (not shown) is preferably formed between the work function metal layer 152 and the filling metal layer 156. The top barrier layer can include TiN, but not limited to this. The filling metal layer 156 filling up the first trench 146 and the second trenches 148 includes materials with low resistance and superior gap-filling characteristic, such as aluminum (Al), titanium aluminide (TiAl) or titanium aluminum oxide (TiAlO), but not limited to this.


Please refer to FIG. 7. Next, a planarization process such as a CMP process is performed to remove the unnecessary filling metal layer 156. Consequently, a metal gate 162 is formed and a transistor 130 having the metal gate 162 is obtained in the transistor region 102. More important, two metal structures 164 are respectively formed in the second trenches 148 in the resistor region 104 simultaneously with forming the metal gate 162. According to the preferred embodiment, the resistor 114 in the resistor region 104 is formed to have a polysilicon portion 108 and two metal portions 164. As shown in FIG. 7, the metal portions 164 of the resistor 114 are positioned respectively at the two opposite ends of the polysilicon portion 108. The metal portions 164 respectively have a U-shaped high-k gate dielectric layer 150 and a U-shaped work function metal layer 152 in bottoms, and topmost portions of the U-shaped high-k gate dielectric layer 150 and of the U-shaped work function metal layer 152 are lower than a surface of the metal portions 164. In addition, the ILD layer 142 and the CESL 140 can be selectively removed and sequentially reformed on the substrate 100 for improving performance of the transistor 130 in the preferred embodiment.


Please refer to FIG. 8. Then, a dielectric layer 170, preferably is a multilayered structure, is formed on the substrate 100. And a plurality of first contacts 172 and two second contacts 174 are formed in the dielectric layer 170. The first contacts 170 are electrically connected to the metal gate 162 and the source/drain 126 of the transistor 130, and the second contacts 174 are electrically connected to the two metal portions 164 of the resistor 114. It is noteworthy that because the contacts 172, 174 are landing on two different materials (the metal materials of the metal gate 162 and the metal portions 164, and the salicides 128), the contact process is simplified when comparing with the conventional contact process, of which the contacts are landing on three different materials (the metal material of the metal gate, the polysilicon of the resistor, and the salicide formed on the source/drain). Accordingly, the material choice for the contacts is increased and the process window is also improved. Furthermore, because the second contacts 174 contact with the metal portions 164, the surface resistance (Rs) between the second contacts 174 and the resistor 114 is decreased and the stability of the resistor 114 is improved. In the same time, the thermal stability of the resistor 114 is also improved due to the metal portions 164.


According to the manufacturing method for a resistor integrated with a transistor having metal gate, the resistor and the transistor having the metal gate are integrated without increasing process complexity. Furthermore, since the resistor includes the metal portions, the materials contacted to the contacts are simplified and thus the material choice of the contacts is increased and the process window is improved. More important, since the resistor includes the metal portions which possess superior thermal stability, the stability the performance of the resistor are consequently improved.


Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims
  • 1. A manufacturing method for a resistor integrated with a transistor having metal gate, comprising: providing a substrate having a transistor region and a resistor region defined thereon;forming a transistor having a dummy gate in the transistor region and a resistor in the resistor region;removing the dummy gate and portions of the resistor to form a first trench in the transistor and two second trenches in the resistor;forming at least a high dielectric constant (high-k) gate dielectric layer in the first trench and the second trenches; andforming a metal gate in the first trench and metal structures respectively in the second trenches.
  • 2. The manufacturing method for a resistor integrated with a transistor having metal gate according to claim 1, wherein the dummy gate and the resistor comprise polysilicon.
  • 3. The manufacturing method for a resistor integrated with a transistor having metal gate according to claim 1, wherein the second trenches are formed respectively at two opposite ends of the resistor.
  • 4. The manufacturing method for a resistor integrated with a transistor having metal gate according to claim 1, further comprising: forming a work function metal layer on the high-k gate dielectric layer in the first trench and the second trenches;forming a blocking layer in the first trench and the second trenches; andperforming an etching process to remove the work function metal layer and the high-k gate dielectric layer not covered by the blocking layer.
  • 5. The manufacturing method for a resistor integrated with a transistor having metal gate according to claim 4, wherein a height of the blocking layer is lower than a depth of the first trench and a depth of the second trenches.
  • 6. The manufacturing method for a resistor integrated with a transistor having metal gate according to claim 4, wherein the high-k gate dielectric layer and the work function metal layer comprises a U shape.
  • 7. The manufacturing method for a resistor integrated with a transistor having metal gate according to claim 6, wherein topmost portions of the high-k gate dielectric layer and the work function metal layer is lower than an opening of the second trenches.
  • 8. The manufacturing method for a resistor integrated with a transistor having metal gate according to claim 4, further comprising forming a filling metal layer in the first trench and the second trenches after the etching process.
  • 9. The manufacturing method for a resistor integrated with a transistor having metal gate according to claim 1, further comprising forming a first contact and two the second contacts on the substrate, the first contact is electrically connected to the metal gate and the second contacts are respectively electrically connected to the metal structures.
  • 10. A resistor comprising: a substrate;a polysilicon portion positioned on the substrate; andtwo metal portions respectively positioned on two opposite ends of the polysilicon portion, the metal portions respectively comprising a U-shaped high-k gate dielectric layer in bottoms.
  • 11. The resistor according to claim 10, wherein a topmost portion of the U-shaped gate dielectric layer is lower than a surface of the metal portions.
  • 12. The resistor according to claim 10, wherein the metal portions comprise a multilayered structure.
  • 13. The resistor according to claim 12, wherein the metal portions further comprises a work function metal layer and a filling metal layer.
  • 14. The resistor according to claim 13, wherein the work function metal layer comprises a U-shaped work function metal layer.
  • 15. The resistor according to claim 14, wherein a topmost portion of the U-shaped work function metal layer is lower than a surface of the metal portions.
US Referenced Citations (70)
Number Name Date Kind
5998873 Blair Dec 1999 A
6033963 Huang Mar 2000 A
6043138 Ibok Mar 2000 A
6372605 Kuehne Apr 2002 B1
6406956 Tsai Jun 2002 B1
6509232 Kim Jan 2003 B1
6653698 Lee et al. Nov 2003 B2
6670275 Lee Dec 2003 B2
6784472 Iriyama et al. Aug 2004 B2
6855607 Achuthan Feb 2005 B2
6858483 Doczy Feb 2005 B2
6921711 Cabral, Jr. Jul 2005 B2
6953719 Doczy Oct 2005 B2
6967131 Saenger Nov 2005 B2
6972225 Doczy Dec 2005 B2
7013446 Ohba Mar 2006 B2
7029966 Amos Apr 2006 B2
7030430 Doczy Apr 2006 B2
7056794 Ku Jun 2006 B2
7064050 Cabral, Jr. Jun 2006 B2
7064066 Metz Jun 2006 B1
7074680 Doczy Jul 2006 B2
7084025 Phua Aug 2006 B2
7112495 Ko Sep 2006 B2
7112851 Saenger Sep 2006 B2
7126199 Doczy Oct 2006 B2
7148548 Doczy Dec 2006 B2
7153734 Brask Dec 2006 B2
7153755 Liu Dec 2006 B2
7157378 Brask Jan 2007 B2
7183184 Doczy Feb 2007 B2
7208366 Tsai Apr 2007 B2
7214620 Kim May 2007 B2
7220635 Brask May 2007 B2
7250658 Doris Jul 2007 B2
7316949 Doczy Jan 2008 B2
7317231 Metz Jan 2008 B2
7326610 Amos Feb 2008 B2
7355281 Brask Apr 2008 B2
7384880 Brask Jun 2008 B2
7390709 Doczy et al. Jun 2008 B2
7521324 Ohmi Apr 2009 B2
7531437 Brask May 2009 B2
7592270 Teo Sep 2009 B2
7601648 Chua Oct 2009 B2
7824990 Chang Nov 2010 B2
8193641 Rachmady et al. Jun 2012 B2
20050202624 Li Sep 2005 A1
20070015365 Chen Jan 2007 A1
20070072376 Chen Mar 2007 A1
20070218661 Shroff Sep 2007 A1
20070262451 Rachmady et al. Nov 2007 A1
20080061366 Liu Mar 2008 A1
20090039433 Yang Feb 2009 A1
20090057759 Obradovic Mar 2009 A1
20090057769 Wei et al. Mar 2009 A1
20090124097 Cheng May 2009 A1
20090186458 Yu Jul 2009 A1
20090200494 Hatem Aug 2009 A1
20090289284 Goh Nov 2009 A1
20100040768 Dhindsa Feb 2010 A1
20100044783 Chuang Feb 2010 A1
20100048027 Cheng Feb 2010 A1
20100052066 Yu Mar 2010 A1
20100065926 Yeh Mar 2010 A1
20100068877 Yeh et al. Mar 2010 A1
20100075507 Chang Mar 2010 A1
20100129994 Awad May 2010 A1
20100184281 Hsu Jul 2010 A1
20100328022 Fan et al. Dec 2010 A1
Related Publications (1)
Number Date Country
20130049924 A1 Feb 2013 US