Semiconductor device and manufacturing method thereof

Information

  • Patent Grant
  • 8575043
  • Patent Number
    8,575,043
  • Date Filed
    Tuesday, July 26, 2011
    12 years ago
  • Date Issued
    Tuesday, November 5, 2013
    10 years ago
Abstract
A semiconductor device includes a plurality of active areas disposed on a semiconductor substrate. A manufacturing method of the semiconductor device includes performing a first annealing process on the semiconductor substrate by emitting a first laser alone a first scanning direction, and performing a second annealing process on the semiconductor substrate by emitting a second laser alone a second scanning direction. The first scanning direction and the second scanning direction have an included angle.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


The present invention relates to a semiconductor device and a manufacturing method thereof, and more particularly, to a semiconductor device and a manufacturing method thereof that uses lasers with different scanning directions in an annealing process to reduce thermal damage caused by the annealing process.


2. Description of the Prior Art


In the process of fabricating a semiconductor device, different dopants can be implanted in the semiconductor substrate in order to control the number of charge carriers. Different doped regions are thereby formed in the semiconductor device. For a variety of doping methods, such as ion implantation processing, liquid deposition processing, thermal diffusion processing, or chemical vapor deposition processing etc., an annealing process is required after the doped regions are formed in the semiconductor substrate. This relieves damage caused by the doping process and also activates dopants within the semiconductor substrate, which helps to reduce the resistance values of these dopants.


With the decreasing sizes of semiconductor devices and correspondingly increasing densities, doped regions have to achieve a higher quality standard. Therefore, annealing processes have to be completed in a shorter time. Conventionally, a rapid thermal process (RTP) is performed on semiconductor substrates for annealing. In the rapid thermal process, wafers are heated by an external heating source, such as tungsten lamps or halogen lamps etc. Laser annealing techniques have been considered to replace the rapid thermal process. Since a laser is capable of completing the annealing process in an extremely short time, the laser annealing process can advantageously form doped regions with shallower depths, so that the electrical performances of semiconductor devices can be improved.


In the laser annealing process, however, a high density power is imposed by the laser on the upper surface of a semiconductor substrate. As many devices made of different materials and having different layouts with different patterned densities are disposed on the upper surfaces of the semiconductor substrate, the laser annealing process may cause a temperature gradient along the longitudinal direction of the semiconductor substrate, leading to defects in the semiconductor substrate.


SUMMARY OF THE INVENTION

It is therefore one of the objectives of the present invention to provide a semiconductor device and a manufacturing method thereof to reduce the thermal damage caused by an annealing process.


In accordance with an embodiment of the present invention, a manufacturing method of a semiconductor device is provided as follows. First, a semiconductor substrate is provided. Then, a first annealing process is performed on the semiconductor substrate by emitting a first laser along a first scanning direction. A second annealing process is performed on the semiconductor substrate by emitting a second laser along a second scanning direction, wherein the first scanning direction and the second scanning direction have an included angle.


In accordance with another embodiment of the present invention, a different manufacturing method of a semiconductor device is provided as follows. A semiconductor substrate having a plurality of active areas is provided. In the semiconductor substrate, some of the long axes of the active areas are substantially parallel to a first direction, and the other long axes of the active areas are substantially parallel to a second direction, wherein the first direction is not parallel to the second direction. Next, a first annealing process is performed on the semiconductor substrate by emitting a first laser along a first scanning direction, wherein the first scanning direction and the first direction have an acute included angle.


Additionally, the present invention provides a semiconductor device including a plurality of active areas. All of the long axes of active areas are substantially parallel to an identical first direction, and the first direction is not parallel to a scanning direction of a laser used in an annealing process.


The manufacturing method of the semiconductor device using lasers with different scanning directions in an annealing process is able to reduce the thermal damage caused by annealing process.


These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 through FIG. 4 are schematic diagrams illustrating a manufacturing method of a semiconductor device according to a first embodiment of the present invention.



FIG. 5 and FIG. 6 are schematic diagrams illustrating warpage deformations of the semiconductor device according to the first embodiment of the present invention.



FIG. 7 and FIG. 8 are schematic diagrams illustrating a manufacturing method of a semiconductor device according to a second embodiment of the present invention.



FIG. 9 is a schematic diagram illustrating a semiconductor device according to a third embodiment of the present invention.





DETAILED DESCRIPTION

Please refer to FIG. 1 through FIG. 4, which illustrate a manufacturing method of a semiconductor device according to a first embodiment of the present invention. In the present embodiment, the semiconductor device 10 is fabricated by the following steps. First, as shown in FIG. 1, a semiconductor substrate 12 including a plurality of active areas 14 is provided. Each of the active areas 14 is substantially designed with rectangle shapes, and has a long axis and a short axis. Each of the active areas 14 includes a doped source region 18, and a doped drain region 20. More than half of the long axes of the active areas 14 disposed in the semiconductor substrate 12 are substantially parallel to a first direction D1. Additionally, each of the active areas 14 includes a gate 16 disposed thereon, and the gate 16 overlaps the active area 14 and is substantially parallel to the short axis.


Next, as shown in FIG. 2, a first annealing process is performed on the semiconductor substrate 12 by emitting a first laser L1 along a first scanning direction d1, which is substantially parallel to the first direction D1; and a second annealing process is also performed on the semiconductor substrate 12 by emitting a second laser L2 along a second scanning direction d2. In the present embodiment, the first scanning direction d1 and the second scanning direction d2 have an included angle γ, for example, 90 degrees, but is not limited thereto. In the present embodiment, the first laser L1 and the second laser L2 can be the same type (emitting light with the same wavelength). Moreover, the first laser L1 scans along a plurality of first scanning paths 22, which are substantially parallel to the first scanning direction d1 and cover the whole surface area of the semiconductor substrate 12. The semiconductor substrate 12 is thereby completely scanned. It should be noted that in the present embodiment, adjacent first scanning paths 22 scan along the first scanning direction d1 from different sides, for instance, the Nth first scanning path 22 scans from left to right, and the (N+1)th first scanning path 22 scans from right to left. Thus, the first scanning paths 22 can be scanned with a continuous trajectory. Similarly, the second laser L2 scans along a plurality of second scanning paths 24, which are substantially parallel to the second scanning direction d2 and cover the whole surface area of the semiconductor substrate 12. Also, adjacent second scanning paths 24 scan along the second scanning direction d2 from different sides, for instance, the Nth second scanning path 24 scans from top to bottom, and the (N+1)th first scanning path 22 scans from bottom to top. In the present embodiment, the adjacent first scanning paths 22 do not overlap each other, and the adjacent second scanning paths 24 do not overlap each other. Additionally, in the present embodiment, the second laser L2 scans after the whole first scanning paths 22 are completely scanned by the first laser L1, but is not limited thereto, the whole second scanning paths 24 can be completely scanned by the second laser L2 before the first laser L1 scans. Such that the area covered by all of the first scanning paths 22 and the second scanning paths 24 completely overlaps the surface area of the semiconductor substrate 12.


In the present invention, the edges of the first scanning paths 22 and the second scanning paths 24 can also be respectively arranged with an arc shape along the edge of the semiconductor substrate 12. As shown in FIG. 3, the first annealing process, for example, can be divided into a plurality of scanning cycles 23 to completely scan the surface area of the semiconductor substrate 12. Each of the scanning cycles 23 includes a plurality of first scanning paths 22, and adjacent first scanning paths 22 are not located at the same vertical position, and adjacent first scanning paths 22 shift along the first direction D1. Therefore, in a scanning cycle 23, the edges of all of the first scanning paths 22 are arranged with an arc shape.


As shown in FIG. 4, the semiconductor substrate 12 includes an upper surface S1 and a lower surface S2. The first laser L1 and the upper surface S1 of the semiconductor substrate 12 have an incident angle α1 substantially lying between 0 and 90 degrees, preferable with Brewster angle, and the second laser L2 and the upper surface S1 of the semiconductor substrate 12 have an incident angle α2 substantially lying between 0 and 90 degrees, preferable with Brewster angle. The incident angle α1 and α2 are preferably set as acute angles, but the incident angles α1 and α2 are not limited to be the same. Since the first annealing process and the second annealing process are only performed on the upper surface S1 of the semiconductor substrate 12 by respectively emitting the first laser L1 and the second laser L2, a temperature difference is generated between the upper surface S1 and the lower surface S2 of the semiconductor substrate 12. Accordingly, in the present embodiment, the manufacturing method of the semiconductor device 10 can further include a step of performing a back-side heating process on the semiconductor substrate 12 during the annealing processes to reduce the temperature difference between the upper surface S1 and the lower surface S2 of the semiconductor substrate 12, so as to avoid defects being caused by a temperature difference. For instance, a flash light (not shown) can be used to heat the lower surface S2 of the semiconductor substrate 12, but is not limited thereto. In addition, as shown in FIG. 3, a sacrificial layer 26 can also be selectively formed on the semiconductor substrate 12 before the annealing processes are performed to reduce the differences in refractive index and reflective index of the upper surface S1 of the semiconductor substrate 12, such that the annealing process would not cause huge differences in thermal effects in different regions of semiconductor substrate 12 due to different material and pattern distributions. Finally, the sacrificial layer 26 can be removed after the annealing process is accomplished.


In the present embodiment, it should be appreciated that more than half of the long axes of the active areas 14 in the semiconductor substrate 12 are substantially parallel to the first direction D1; therefore, when the first annealing process is performed along the first direction D1, the first laser L1 emitted toward the semiconductor substrate 12 would lead to serious thermal damage. Accordingly, in the present embodiment, the first laser L1 is utilized with lower power so that the first annealing process has a lower first temperature and thermal damage to the semiconductor substrate 12 can be reduced. In the second annealing process, the second scanning direction d2 is not parallel to the first direction D1, and the second scanning direction d2 and the first direction D1 have the included angle γ: for example, 90 degrees. As a result, the second laser L2 leads to smaller thermal damage to the semiconductor substrate 12 during the second annealing process. Accordingly, the second laser L2 can be utilized with higher power, so that the second annealing process has a higher second temperature to enhance the annealing performance.


Please refer to FIG. 5 and FIG. 6, which illustrate warpage deformations of the semiconductor device according to the first embodiment of the present invention. In the present embodiment, various stressed regions, epitaxial layers, and doped regions are respectively disposed in each active area 14 in the semiconductor substrate 12. Therefore, thermal stresses generated in annealing processes may cause defects such as dislocation loops. Accordingly, as shown in the right part of FIG. 5, the first laser L1 scans the semiconductor substrate 12 along the second scanning direction d2, after the semiconductor substrate 12 is completely scanned by the first laser L1, the semiconductor substrate 12 would be deformed into a warpage deformation along a direction substantially perpendicular to the first direction D1, as shown in the left part of FIG. 5. Next, as shown in the right part of FIG. 6, the second laser L2 scans the semiconductor substrate 12 along the second scanning direction d2, after semiconductor substrate 12 is completely scanned by the second laser L2, as shown in the left part of FIG. 6, the semiconductor substrate 12 would be deformed into a warpage deformation along a direction substantially parallel to the first direction D1. Therefore, after being completely scanned by the first laser L1 and the second laser L2, the semiconductor substrate 12 is deformed into a symmetric warpage deformation instead of an asymmetric deformation curved like a potato chip caused by a laser scanning along a single direction. Moreover, after the first annealing process and the second annealing process are performed, all active areas 14 in the semiconductor substrate 12 can be completely scanned twice so as to enhance annealing performance.


Please refer to FIG. 7 and FIG. 8, which illustrate a manufacturing method of semiconductor device according to a second embodiment of the present invention. For clear illustration, the same components are denoted by the same numerals in the present embodiment as compared with the aforementioned embodiment. As shown in FIG. 7, a semiconductor substrate 12 including a plurality of active areas 14 is provided, and each of the active areas 14 has a long axis. In the semiconductor substrate 12, a portion of the long axes of the active areas 14 are substantially parallel to a first direction D1, and the other portion of the axes of the active areas 14 are substantially parallel to a second direction D2, and the first direction D1 is not parallel to the second direction D2. In the present embodiment, the first direction D1 is substantially perpendicular to the second direction D2, but is not limited thereto. Next, a first annealing process is performed on the semiconductor substrate 12 by emitting a first laser L1 along a first scanning direction d1. The first scanning direction d1 and the first direction D2 have an acute included angle ψ. In the present embodiment, the acute included angle ψ is approximately 45 degrees, but is not limited thereto. Additionally, in the present embodiment, the first laser L1 scans along a plurality of first scanning paths 22, and each first scanning path 22 is approximately 50 percent overlapped by the adjacent first scanning path 22, so that all of the active areas 14 in the semiconductor substrate 12 can be completely scanned twice to enhance annealing performance.


As previously mentioned, a laser scanning along a single direction in an annealing process possibly causes an asymmetric deformation curved like a potato chip of the semiconductor substrate 12. Accordingly, the second embodiment of the present invention provides another variant embodiment. As shown in FIG. 8, after the first annealing process is performed by emitting a first laser L1 along a first scanning direction d1, a second annealing process is further performed on the semiconductor substrate 12 by emitting a second laser L2 along a second scanning direction d2. The second scanning direction d2 and the first scanning direction d1 have an included angle γ. In this variant embodiment, the included angle γ between the second scanning direction d2 and the first scanning direction d1 is approximately 90 degrees, but is not limited thereto. It should be noted that the first laser L1 and the second laser L2 respectively scan along a plurality of first scanning paths 22 and a plurality of second scanning paths 24. Each first scanning path 22 is not overlapped by the adjacent first scanning path 22, and each second scanning path 24 is not overlapped by the adjacent second scanning path 24. As mentioned in the first embodiment of the present invention, in the present embodiment, adjacent first scanning paths 22 scan along the first scanning direction d1 from different sides, and also adjacent second scanning paths 24 scan along the second scanning direction d2 from different sides. Moreover, the edges of the first scanning paths 22 and the second scanning paths 24 can also be respectively arranged with an arc shape along the edge of the semiconductor substrate 12, such that the area covered by all of the first scanning paths 22 and the second scanning paths 24 completely overlaps the surface area of the semiconductor substrate 12. In this variant embodiment, the semiconductor substrate 12 is deformed into a symmetric warpage deformation by the first laser L1 and the second laser L2 scanning along different directions respectively. Moreover, after the first annealing process and the second annealing process are performed, all of the active areas 14 in the semiconductor substrate 12 can be completely scanned twice so as to enhance the annealing performance.


In accordance with the second embodiment of the present invention, as shown in FIG. 7, the semiconductor substrate 12 includes an upper surface S1 and a lower surface S2. The first laser L1 and the upper surface S1 of the semiconductor substrate 12 have an incident angle α1 substantially lying between 0 and 90 degrees, preferable with Brewster angle, and the second laser L2 and the upper surface S1 of the semiconductor substrate 12 have an incident angle α2 substantially lying between 0 and 90 degrees, preferable with Brewster angle. The incident angles α1 and α2 are preferably set as acute angles, but the incident angles α1 and α2 are not limited to be the same. Similar to the aforementioned embodiment, the manufacturing method of the semiconductor device 10 according to the present embodiment can include a step of performing a back-side heating process on the semiconductor substrate 12 during the annealing processes, and also a sacrificial layer 26 can be selectively formed on the semiconductor substrate 12 before the annealing processes are performed to reduce the differences in refractive index and reflective index of the upper surface S1 of the semiconductor substrate 12, such that the annealing process would not cause huge differences in thermal effects of different regions of semiconductor substrate 12 due to different material and pattern distributions. Finally, the sacrificial layer 26 can be removed after the annealing process is accomplished.


In accordance with the second embodiment of the present invention, as shown in FIG. 7 and FIG. 8, the long axes of the active areas 14 are substantially parallel to either the first direction D1 or the second direction D2, which is perpendicular to the first direction D1. In the first annealing process, the first laser L1 scans along the first scanning direction d1, and the first scanning direction d1 and the first direction D1 have the acute included angle ψ of approximately 45 degrees, so the first scanning direction d1 and the second direction D1 also have the same included angle of approximately 45 degrees. In the second annealing process, the second laser L2 scans along the second scanning direction d2, and the second scanning direction d2 and the first scanning direction d1 have the included angle γ of approximately 90 degrees. Accordingly, the second scanning direction d2 and the second direction D1 have an included angle of approximately 135 degrees, and also the second scanning direction d2 and the second direction D2 have the same included angle of approximately 135 degrees. As a result, only partial power of the first laser L1 and the second laser L2 attacks the long axes of the active areas 14 substantially parallel to the first direction D1 and the second direction D2, and the semiconductor substrate 12 will not be seriously damaged during the annealing processes


Please refer to FIG. 9, which illustrates a semiconductor device according to a third embodiment of the present invention. For clear illustration, the same components are denoted by the same numerals in the present embodiment as compared with the aforementioned embodiment. As shown in FIG. 9, a plurality of active areas 14 are disposed in the semiconductor substrate 12, and each active area 14 includes, a doped source region 18 and a doped drain region 20. Additionally, each of the active areas 14 includes a gate 16 disposed thereon, and the gate 16 overlaps the active area 14 and is substantially parallel to the short axis. In the present embodiment, all of the long axes of the active areas 14 are substantially parallel to an identical first direction D1, which is not parallel to a scanning direction d of a laser L. In the present embodiment, the second direction D2 and the first direction D1 are substantially perpendicular to each other, but the invention is not limited thereto. According to the initial layout design (design rule) in the present embodiment, the long axes of all active areas 14 are limited to parallel to the identical first direction D1, and the laser L is emitted along the scanning direction d, which is perpendicular to the first direction D1 and also substantially parallel to the second direction D2, for performing an annealing process on the semiconductor substrate 12. Therefore, the thermal damage generated on the long axes of the active areas 14 substantially parallel to the first direction D1 can be reduced during the annealing process.


To sum up, according to the present invention, the manufacturing method of the semiconductor device uses lasers with different scanning directions in the annealing process, which enhances the annealing performance, and reduces the thermal damage to the semiconductor substrate during the annealing process. The laser annealing processes provided in the present invention can be applied for various heat treatments such as stress memorization techniques (SMT), source/drain annealing, salicidation, and re-crystallization.


Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention.

Claims
  • 1. A manufacturing method of semiconductor device, comprising: providing a semiconductor substrate;performing a first annealing process on the semiconductor substrate by emitting a first laser along a first scanning direction; andperforming a second annealing process on the semiconductor substrate by emitting a second laser along a second scanning direction, wherein the first scanning direction and the second scanning direction have an included angle,
  • 2. The manufacturing method of semiconductor device according to claim 1, wherein the first annealing process has a first temperature, the second annealing process has a second temperature, and the first temperature is substantially smaller than the second temperature.
  • 3. The manufacturing method of semiconductor device according to claim 1, wherein the semiconductor substrate comprises a plurality of active areas, and each of the active areas has a long axis, the first scanning direction in the first annealing process is substantially parallel to a first direction, wherein more than half of the long axes of the active areas are substantially parallel to the first direction.
  • 4. The manufacturing method of semiconductor device according to claim 1, wherein the included angle is approximately 90 degrees.
  • 5. The manufacturing method of semiconductor device according to claim 1, wherein the first laser and a surface of the semiconductor substrate have an incident angle substantially lying between 0 and 90 degrees, preferable with Brewster angle.
  • 6. The manufacturing method of semiconductor device according to claim 1, wherein the second laser and a surface of the semiconductor substrate have an incident angle substantially lying between 0 and 90 degrees, preferable with Brewster angle.
  • 7. The manufacturing method of semiconductor device according to claim 1, further comprising a step of performing a back-side heating process of the semiconductor substrate during the annealing processes.
  • 8. The manufacturing method of semiconductor device according to claim 1, further comprising a step of forming a sacrificial layer on the semiconductor substrate before performing the annealing processes.
  • 9. A manufacturing method of semiconductor device, comprising: providing a semiconductor substrate, comprising a plurality of active areas, and each of the active areas has a long axis, wherein a portion of the long axes of the active areas are substantially parallel to a first direction, and the other portion of the long axes of the active areas are substantially parallel to a second direction, and the first direction is not parallel to the second direction;performing a first annealing process on the semiconductor substrate by emitting a first laser along a first scanning direction, wherein the first scanning direction and the first direction have an acute included angle when viewed in top view; andperforming a second annealing process on the semiconductor substrate by emitting a second laser along a second scanning direction, wherein the second scanning direction and the first scanning direction have an included angle when viewed in top view.
  • 10. The manufacturing method of semiconductor device according to claim 9, wherein the first direction and the second direction are substantially perpendicular to each other.
  • 11. The manufacturing method of semiconductor device according to claim 9, wherein the acute included angle is approximately 45 degrees.
  • 12. The manufacturing method of semiconductor device according to claim 9, wherein the first laser scans along a plurality of first scanning paths.
  • 13. The manufacturing method of semiconductor device according to claim 12, wherein each first scanning path is approximately 50 percent overlapped by the adjacent first scanning path.
  • 14. The manufacturing method of semiconductor device according to claim 12, wherein the first scanning paths have edges arranged with an arc shape, such that the area covered by all of the first scanning paths completely overlaps the surface area of the semiconductor substrate.
  • 15. The manufacturing method of semiconductor device according to claim 9, wherein the first laser and a surface of the semiconductor substrate have an incident angle substantially lying between 0 and 90 degrees, preferable with Brewster angle.
  • 16. The manufacturing method of semiconductor device according to claim 9, wherein the included angle is approximately 90 degrees.
  • 17. The manufacturing method of semiconductor device according to claim 9, wherein the first laser scans along a plurality of first scanning paths, and the second laser scans along a plurality of second scanning paths.
  • 18. The manufacturing method of semiconductor device according to claim 17, wherein the adjacent first scanning paths do not overlap each other, and the adjacent second scanning paths do not overlap each other.
  • 19. The manufacturing method of semiconductor device according to claim 17, wherein the second scanning paths have edges arranged with an arc shape, such that the area covered by all of the second scanning paths completely overlaps the surface area of the semiconductor substrate.
  • 20. The manufacturing method of semiconductor device according to claim 9, wherein the second laser and the surface of the semiconductor substrate have an incident angle substantially lying between 0 and 90 degrees, preferable with Brewster angle.
  • 21. The manufacturing method of semiconductor device according to claim 9, further comprising a step of performing a back-side heating process of the semiconductor substrate during the annealing processes.
  • 22. The manufacturing method of semiconductor device according to claim 9, further comprising a step of forming a sacrificial layer on the semiconductor substrate before performing the first annealing processes.
US Referenced Citations (149)
Number Name Date Kind
4891303 Garza Jan 1990 A
5217910 Shimizu Jun 1993 A
5273930 Steele Dec 1993 A
5356830 Yoshikawa Oct 1994 A
5372957 Liang Dec 1994 A
5385630 Philipossian Jan 1995 A
5399506 Tsukamoto Mar 1995 A
5625217 Chau Apr 1997 A
5777364 Crabbe Jul 1998 A
5783478 Chau Jul 1998 A
5783479 Lin Jul 1998 A
5960322 Xiang Sep 1999 A
6030874 Grider Feb 2000 A
6048756 Lee Apr 2000 A
6074954 Lill Jun 2000 A
6100171 Ishida Aug 2000 A
6110787 Chan Aug 2000 A
6165826 Chau Dec 2000 A
6165881 Tao Dec 2000 A
6191052 Wang Feb 2001 B1
6228730 Chen May 2001 B1
6274447 Takasou Aug 2001 B1
6355533 Lee Mar 2002 B2
6365476 Talwar Apr 2002 B1
6368926 Wu Apr 2002 B1
6429100 Yoneda Aug 2002 B2
6444591 Schuegraf Sep 2002 B1
6537370 Hernandez Mar 2003 B1
6544822 Kim Apr 2003 B2
6605498 Murthy Aug 2003 B1
6613695 Pomarede Sep 2003 B2
6621131 Murthy Sep 2003 B2
6624068 Thakar Sep 2003 B2
6632718 Grider Oct 2003 B1
6642122 Yu Nov 2003 B1
6664156 Ang Dec 2003 B1
6675057 Liu Jan 2004 B2
6676764 Joo Jan 2004 B2
6699763 Grider Mar 2004 B2
6703271 Yeo Mar 2004 B2
6747245 Talwar et al. Jun 2004 B2
6777275 Kluth Aug 2004 B1
6806151 Wasshuber Oct 2004 B2
6809402 Hopper Oct 2004 B1
6858506 Chang Feb 2005 B2
6861318 Murthy Mar 2005 B2
6864135 Grudowski Mar 2005 B2
6869867 Miyashita Mar 2005 B2
6887751 Chidambarrao May 2005 B2
6887762 Murthy May 2005 B1
6891192 Chen May 2005 B2
6930007 Bu Aug 2005 B2
6946350 Lindert Sep 2005 B2
6962856 Park Nov 2005 B2
6972461 Chen Dec 2005 B1
6991979 Ajmera Jan 2006 B2
6991991 Cheng Jan 2006 B2
7037773 Wang May 2006 B2
7060576 Lindert Jun 2006 B2
7060579 Chidambaram Jun 2006 B2
7112495 Ko Sep 2006 B2
7118952 Chen Oct 2006 B2
7132338 Samoilov Nov 2006 B2
7169675 Tan Jan 2007 B2
7183596 Wu Feb 2007 B2
7202124 Fitzgerald Apr 2007 B2
7217627 Kim May 2007 B2
7288822 Ting et al. Oct 2007 B1
7303999 Sriraman Dec 2007 B1
7335959 Curello Feb 2008 B2
7410859 Peidous Aug 2008 B1
7462239 Brabant Dec 2008 B2
7491615 Wu Feb 2009 B2
7494856 Zhang Feb 2009 B2
7494858 Bohr Feb 2009 B2
7592231 Cheng Sep 2009 B2
7667227 Shimamune Feb 2010 B2
7691752 Ranade Apr 2010 B2
7838370 Mehta Nov 2010 B2
20010029089 Tanaka Oct 2001 A1
20010040541 Yoneda et al. Nov 2001 A1
20020160553 Yamanaka et al. Oct 2002 A1
20020160587 Jagannathan Oct 2002 A1
20020182423 Chu Dec 2002 A1
20030148594 Yamazaki et al. Aug 2003 A1
20030181005 Hachimine Sep 2003 A1
20030203599 Kanzawa Oct 2003 A1
20040045499 Langdo Mar 2004 A1
20040067631 Bu Apr 2004 A1
20040227164 Lee Nov 2004 A1
20050070076 Dion Mar 2005 A1
20050079692 Samoilov Apr 2005 A1
20050082616 Chen Apr 2005 A1
20050139231 Abadie Jun 2005 A1
20050260830 Kwon Nov 2005 A1
20050285193 Lee Dec 2005 A1
20050287752 Nouri Dec 2005 A1
20060051922 Huang Mar 2006 A1
20060057859 Chen Mar 2006 A1
20060076627 Chen Apr 2006 A1
20060088968 Shin Apr 2006 A1
20060115949 Zhang Jun 2006 A1
20060163558 Lee Jul 2006 A1
20060228842 Zhang Oct 2006 A1
20060231826 Kohyama Oct 2006 A1
20060258126 Shiono Nov 2006 A1
20060281288 Kawamura Dec 2006 A1
20060292779 Chen Dec 2006 A1
20060292783 Lee Dec 2006 A1
20070023847 Rhee Feb 2007 A1
20070034906 Wang Feb 2007 A1
20070049014 Chen Mar 2007 A1
20070068908 Grek et al. Mar 2007 A1
20070072353 Wu Mar 2007 A1
20070072376 Chen Mar 2007 A1
20070082451 Samoilov Apr 2007 A1
20070128783 Ting Jun 2007 A1
20070166929 Matsumoto Jul 2007 A1
20070262396 Zhu Nov 2007 A1
20080014688 Thean Jan 2008 A1
20080061366 Liu Mar 2008 A1
20080067545 Rhee Mar 2008 A1
20080076236 Chiang Mar 2008 A1
20080085577 Shih Apr 2008 A1
20080116525 Liu May 2008 A1
20080124874 Park May 2008 A1
20080128746 Wang Jun 2008 A1
20080142886 Liao Jun 2008 A1
20080210667 Yang et al. Sep 2008 A1
20080220579 Pal Sep 2008 A1
20080233722 Liao Sep 2008 A1
20080233746 Huang Sep 2008 A1
20080272097 Bu et al. Nov 2008 A1
20090039389 Tseng Feb 2009 A1
20090045456 Chen Feb 2009 A1
20090095992 Sanuki Apr 2009 A1
20090117715 Fukuda May 2009 A1
20090124056 Chen May 2009 A1
20090166625 Ting Jul 2009 A1
20090184402 Chen Jul 2009 A1
20090186475 Ting Jul 2009 A1
20090246922 Wu Oct 2009 A1
20090278170 Yang Nov 2009 A1
20090278287 Wang et al. Nov 2009 A1
20090302348 Adam Dec 2009 A1
20100001317 Chen Jan 2010 A1
20100084744 Zafiropoulo et al. Apr 2010 A1
20100093147 Liao Apr 2010 A1
20100187444 Yang et al. Jul 2010 A1
Non-Patent Literature Citations (2)
Entry
O.Fujii, 2009 Symposium on VLSI Technology Digest of Technical Papers, “Sophisticated Methodology of Dummy Pattern Generation for Suppressing Dislocation Induced Contact Misalignment on Flash Lamp Annealed eSiGe Wafer”, 2009.
T. Miyashita, IEDM, “A Study on Millisecond Annealing (MSA) Induced Layout Dependence for Flash Lamp Annealing (FLA and Laser Spike Annealing (LSA) in Multiple MSA Scheme with 45 nm High-Performance Technology”, 2009.
Related Publications (1)
Number Date Country
20130026543 A1 Jan 2013 US