The present disclosure relates in general to semiconductor manufacturing processes and more particularly to techniques to inhibit the migration of dopants including rendering boron to be substitutional.
One negative effect of transistor scaling for performance is that leakage power dramatically increases, becoming a significant design factor in advanced transistors. Transistor power dissipation at advanced nodes has shifted from mostly dynamic power dissipation to static leakage during standby modes of operation. Static leakage can now account for 40% or more of the total power consumption. Dynamic power includes switching power used for charging and discharging capacitors, and short circuit power related to nonzero rise and fall times of the input waveforms.
The subthreshold current has become the dominant leakage component for today's advanced node devices. This current is generated within the depletion region at the drain to well and source to well junctions of the device. These pn junctions are typically reverse biased allowing minority carrier drift/diffusion current, as well as electron-hole pair localized recombination. In addition, the high electric field can allow significant band-to-band tunneling current between the valence band and conduction band of the device. Subthreshold current becomes more significant as the device Vt is lowered.
As the channel length shrinks from generation to generation, Drain Induced Barrier Lowering (DIBL) also becomes a larger overall component of transistor leakage and performance degradation. In short channel devices, the electric field potential from the source and drain increases depletion in the channel, causing additional band bending which effectively lowers the device Vt, increasing subthreshold leakage current. The traditional transistor control technique to set Vt utilizes pocket (also known as “halo”) implants at the source/drain edge. Such halo implants introduce dopants into the transistor channel which in turn can result in excessive variations in Vt.
For a more complete understanding of the present disclosure, reference is made to the following description taken in conjunction with the accompanying drawings, wherein like reference numerals represent like parts, in which:
Precise and consistent placement of controlled quantities of dopant atoms into a semiconductor substrate is important for reliable operation of nanometer scale CMOS transistors, and in particular for transistors that are manufactured to have a substantially undoped channel (normally having an average dopant density of less than 5×1017 atoms per cm3) positioned under a gate dielectric between the source and the drain. Such undoped channels can be created by epitaxial growth of intrinsic silicon, growth of another compatible channel material such as silicon germanium, atomic layer deposition, or other conventional process.
A Deeply Depleted Channel (DDC) transistor uses, among other things, dopants in specific areas below an undoped channel to define a depletion zone when the transistor is turned on. There results in a transistor having improved electrical parameters including threshold voltage variation among transistors and reduced power requirements. One of the hallmarks of a DDC transistor having reduced leakage is to form the doped regions in such a manner as to inhibit the migration of the dopants outside of the desired locations.
Diffusion into the substantially undoped channel 190 from underlying layers is a problem for DDC transistors in that such transistors are structured to have a highly-doped region to define the depletion width during transistor operation. Such a screening region 170 can have a dopant density as much as ten to hundred times as great as the average dopant density of the substantially undoped channel. The screening region 170 is preferably formed by implant into doped well 160 which may contain an anti-punchthrough region thereon. Alternatively, the screening layer 170 may be formed by doped epitaxial growth onto the well 160 or anti-punchthrough region, if present. The doped well 160 in turn has an average dopant density significantly less than the screening layer, typically less than one-tenth to one-fiftieth the dopant density of the screening layer 170. In certain transistor embodiments, threshold voltage of a transistor can be adjusted with the threshold voltage control layer 180 positioned between the substantially undoped channel 190 and the screening layer 170 and extending at least partially between the source and the drain 150. Preferably, screening region 170 is of a thickness such that the screening region 170 begins somewhere underneath tips 140 and ends above the bottom of the source/drain regions 150. Or, screening region 170 may be placed below the bottom of the source/drain regions 150. Such transistors are described further in pending U.S. application Ser. No. 12/708,497 filed Feb. 18, 2010, the entirety of which is hereby incorporated by reference herein.
As will be appreciated, formation of screening layer 170 and a threshold voltage control layer 180 may be performed in different ways. Screening layer 170 may be formed by way of ion implantation into well region 160 (or the anti-punchthrough region), or by way of in-situ deposition of doped material, or by way of intrinsic silicon epitaxial growth followed by ion implantation. Threshold voltage control layer 180 can either be a separate layer formed by deposition or ion implantation or may be formed by way of controlled out-diffusion of the previously formed screening layer 170. Threshold voltage control layer 180 may be formed by way of in-situ deposition of doped material or through intrinsic silicon epitaxial growth followed by ion implantation. DDC transistors 100 allow for transistor elements having differently tuned characteristics even as among transistor elements differing by type, NFET or PFET, or device type such as having low-threshold voltage, medium-threshold voltage, high-threshold voltage, or various levels therebetween. As a general matter, the relationships between structural or process parameters and electrical results are as shown in Table 1e below. Increasing undoped epi thickness trends reduced Vt but improved (reduced) Vt variation. Increased screen dose trends toward increased Vt but worsened Vt variation. Increased screen energy trends toward reduced Vt and improved (reduced) Vt variation.
For proper transistor element operation that minimizes leakage, it is important to prevent screening layer 170 dopants and/or threshold voltage control layer 180 dopants from diffusing into substantially undoped channel layer 190 so as to reduce the effective thickness of the substantially undoped portion. This is particularly true when highly-diffusive species such as boron are used for screening layer 170 and/or Threshold voltage control layer 180 to form NFET elements. More particularly, implanted boron is known to easily diffuse during device fabrication, especially in thermal cycles of 900° C. or more. This is seen in
In other embodiments, boron diffusion can be reduced through growing fully substitutional boron films by epitaxy in-situ doping.
Limiting boron diffusion through transistor structures into a substantially undoped channel is also possible by using a brief high temperature anneal where the substrate temperature is ramped rapidly to a setpoint, such as 1000° C. and then cooled (often called a “spike” anneal). For example, a 1000° C. spike anneal can be used to activate the implanted boron and heal residual lattice damage. The spike anneal causes the implanted boron to move into substitutional sites. In another example, subjecting implanted boron to a laser anneal of 1250° C. or 1300° C. for an extremely short period of time, for instance 400 μsec, provides for boron activation and can result in implanted boron behaving like an in-situ doped epitaxial boron film with respect to resistance to diffusion. Chart 204 of
Several approaches may be utilized to build a transistor element with a substantially undoped channel and having a screening layer that provides a strong body effect for the device and screens the underlying well from the electric fields stemming from the gate voltage. Such a transistor element typically includes a threshold voltage control layer to set the threshold voltage for the transistor element in a manner that minimizes variation of threshold voltage from device to device, with an intrinsic channel for high mobility and reduced random dopant fluctuation performance. Each approach has various advantages and disadvantages. In general, two tradeoffs are considered when building transistor elements on a semiconductor die—the number of steps in the process (relating to manufacturing costs) and channel formation (relating to transistor performance). The fewer masking steps and total steps required to build a design translates into a lower cost to build. Forming the channel later in the thermal cycle of the manufacturing process facilitates controlling the channel doping profile and avoiding unwanted contaminants from diffusing into the channel from other parts of the transistor design.
One particular transistor having an epitaxial channel that supports a DDC transistor is described in U.S. application Ser. No. 12/708,497 titled Electronic Devices and Systems, and Methods for Making and Using the Same; in U.S. application Ser. No. 12/971,884 titled Low Power Semiconductor Transistor Structure and Method of Fabrication Thereof; in U.S. application Ser. No. 12/971,955 titled Transistor with Threshold Voltage Set Notch and Method of Fabrication Thereof; and in U.S. application Ser. No. 12/960,266 titled Semiconductor Structure and Method of Fabrication Thereof with Mixed Metal Types, the disclosures of which are hereby incorporated by reference herein in their entirety. In such transistors, the epitaxial layer thickness is a factor for setting and shifting transistor threshold voltage values, and tight control of the thickness reduces transistor variation and potential for device failure.
In the following paragraphs, reference will be made to figures that embody structures formed in a semiconductor substrate at individual steps in a fabrication process. Reference is generally made to the fabrication steps. Exemplary process conditions are described in reference to the flowcharts start at
In
In
In
In
In
In
In
In
In
In
In
In
By precisely controlling the doping structure of the transistor channel, the speed/performance can be maintained while significant power consumption savings can be realized. Since reduced power consumption is one goal of the DDC transistor structure, attention to leakage current sources and control techniques for the DDC structure are paramount to a successful implementation. A way to reduce leakage is to dope the DDC transistor structure in such a manner as to control the ultimate dopant concentration profiles along the substrate and channel.
To reduce unwanted diffusion of boron and enhance profile abruptness, NMOS process flows can further include carbon near the screen implant. For ideal boron protection, the carbon should be substitutional rather than interstitial in the lattice. To improve carbon substitutionality, a preamorphization implant (PAI) followed by a solid phase epitaxy anneal is generally used. Typically, the PAI is performed prior to the boron implant(s), but the sequence may be switched. PAI is typically performed prior to the carbon implant(s), but that sequence may also be switched. Several preamorphization species exist that may be adequate for the job, such as Xe, Si, and carborane to name a few. Germanium is preferred because it is already commonly utilized to improve implant species abruptness near the gate and channel for contact implants such as LDD implants and source/drain implants. Unfortunately, both carbon and the PAI can degrade device leakage performance. Carbon can protect the boron screen implant from excessive diffusion during thermal anneals and oxidations, but carbon itself tends to diffuse. If carbon diffuses up near the gate dielectric layer, transistor performance may degrade due to carbon infusion into the gate dielectric. Effects include reduced channel mobility and junction leakage issues.
The dose for the PAI species, usually germanium, should be high enough to amorphize the well to the desired depth. A low dose (<1e14/cm2) will usually result in reduced extent of amorphization, which can result in poor recrystallization during a subsequent recrystallization anneals like solid phase epitaxy (SPE). However, a high dose (>1e15/cm2) can result in an excessive end-of-range (EOR) damage accumulation region. A secondary effect of a PAI dose is its impact on the magnitude and depth of the EOR damage accumulation region. The primary depth control is the PAI energy. However, the amorphization depth and end of range damage accumulation region will become deeper or shallower with dose at a specific energy. Improper PAI energy or dose selection can result in poor placement of the EOR damage accumulation region relative to other device features such as LDD or source/drain regions. Essentially, the EOR damage accumulation region placement should occur in a location relative to LDD and source/drain regions as well as STI depth by modeling for minimum harm to the transistor by way of EOR as a leakage path while maintaining an effective PAI region to receive carbon to protect the boron from unwanted diffusion migration. Note that while the PAI processes described herein are presented in the context of a boron screen, the processes apply to any regions having doped areas and where it is desirable to maintain a defined dopant concentration profile, for instance threshold voltage control layer, source/drain and LDD implants.
Moving the carbon into substitutional lattice sites before a high temperature processing step can help to avoid carbon diffusion while still maintaining good protection against boron diffusion. Under certain annealing and dose conditions, the carbon can cluster, which also prevents carbon diffusion. However, the ability of the carbon to protect the boron from transient enhanced diffusion (TED) and, for that matter, oxygen enhanced diffusion (OED) is diminished for clustered carbon. Also, the clustered carbon may cause higher leakage current in DDC devices. Silicon interstitials generated during implantation, oxidation, or other process steps can kick-out both B and C from substitutional sites, resulting in further B and C diffusion.
PAI followed by an anneal, typically done using solid phase epitaxy (SPE), work well to get the carbon and boron implants into substitutional sites. However, the PAI dose typically used, which is about 5e14 per cm2, leaves an EOR damage that may not be not fully annealed out by the SPE regrowth. The EOR layer can contribute to device leakage current, so it needs to be carefully located within the device structure by adjusting the PAI dose and energy in order to minimize the creation of current paths from the source and drain. However, the EOR defect density should also be minimized.
The extent of carbon substitutionality and EOR damage recovery both respond differently to various annealing conditions. The type of anneal that gives the highest substitutional percentages of carbon and boron may not give the optimum damage recovery. Generally speaking, shorter time constant anneals with fast temperature ramp rates tend to favor substitutionality, while long anneals at reasonably high temperature tends to favor damage recovery. Both excessive diffusion of implanted species and/or high residual damage from the ion implantation process can contribute to leakage current.
In an example process flow at
An alternative flow as provided in
An alternative flow is presented at
A further alternative flow is presented at
In still another example at
In
In the next example graph at
In traditional transistor designs, the main junction leakage source can be associated with the region of highest electric field in the device where the high concentration LDD or source/drain implants come in proximity to a high concentration opposite conductivity dose such as the halo implant. DDC transistor design methods, in contrast, compensate for high electrical field issues due to the relatively high concentration, for instance 3e18 to 5e19/cm3, boron screen layer in proximity with LDD or source/drain implants; contamination from the transient enhanced diffusion (TED) control species (e.g. C) diffusing into the gate dielectric or STI, forming defects in depletion regions adjacent to LDD or source/drain implants, degrading mobility in the device channel; general damage and incomplete recrystallization from the PAI implant (e.g. Ge), silicon interstitial release from the EOR region during device processing that results in poor profile control, as well as carrier generation/recombination occurring within the PAI EOR damage accumulation region during device operation.
Using a different implant energy for the PAI may require a slightly different PAI dose for full amorphization and optimum B and C profile control. Generally, lower PAI energy will result in less carbon diffusion into the intrinsic channel, a shallower EOR damage band location, higher accumulated carbon and boron in the EOR region (as a result of the proximity to the screen implants), and a narrower PAI species profile shape relative to depth. It may also allow a lower overall PAI dose to be utilized to fully amorphize the screen implants, and it may allow less or require more carbon to protect the boron profile from TED and OED. Experiments have indicated that superior transistor performance results from use of higher Ge PAI energy (>30 keV) which puts the EOR damage band further away from the screen and high electric field areas within the device, and results in lower levels of accumulated C and B species within the EOR defect band.
There is an opposed relationship between protecting the boron profile and minimizing carbon contamination of surrounding layers. By performing a very low energy and low dose carbon implant (for instance 0.5 keV, 5e13/cm2), the carbon layer offers good boron profile leading edge abruptness control and minimal carbon diffusion into the intrinsic channel and PAI EOR layer. In certain embodiments, boron peak concentration can fall compared to a deeper and larger carbon dose. As a further improvement, a shallow carbon profile can be developed to provide boron leading edge profile control and minimum carbon contamination in the silicon channel, while a deep carbon implant is utilized to protect the trailing (substrate) profile edge and also protects the boron peak concentration.
As will be appreciated, improper PAI energy or dose selection can result in poor placement of the EOR damage accumulation region relative to other device features such as LDD or source/drain contacts. The TED control species should have a minimum peak concentration to allow adequate protection of the boron profile (for C, this is about 3e14/cm2). Excessive dose may result in clustering or increased “control species” diffusion and increased contamination in nearby oxides, interface layers, and depletion regions. The minimum dose for germanium PAI is typically on the order of 2e14-5e14/cm2 for a 30 keV implant energy. Boron diffusion control favors high carbon concentrations. However, preventing carbon diffusion into channel and EOR accumulation regions favors low carbon concentrations. Shallow carbon can significantly reduce carbon contamination within the intrinsic channel as well as the PAI EOR region and improve the boron screening layer abruptness.
The energy and dose for the screen and Vt dopants may be similar to conventional ion implantation processes, or may be lower in energy or dose than conventional implantation processes. For instance, boron, arsenic, and antimony doping for the screen and threshold voltage control layers in DDC type structures is typically about 5e12/cm2 to 5e13/cm2. Additionally, a shallow C implant corresponding to the screen implant may use a targeted implant energy that can be as low as 0.5 keV or lower.
At
One of the expected issues with using a partial implant barrier rather than a full 100% blocking barrier would be the available uniformity of potential barrier/masking layers. If the partial blocking mask were not uniform, more implant dose would transmit through a thinner portion of the masking layer, while less dose would transmit through a thicker portion of the masking layer. This would not pose any issue when the implant does not need to be exact to achieve the desired device result, but could become an issue in cases where non-uniform implant dose or depth would adversely affect device performance. Because of potential masking layer uniformity limitations, the semiconductor industry may have never previously considered using a partial blocking mask because of the lack of local dose or depth control which would follow masking layer non-uniformity.
A solution to this potential issue of non-uniformity in partial blocking masks would be to utilize ALD (atomic layer deposition) to generate reliably deposited and uniform partial masking layers. ALD is a process that is designed to grow one monolayer of material at a time, and layer thickness is controlled by successive process cycles which build up the material thickness one atomic layer at a time. Because of the unique growth mechanism, ALD deposited films tend to be extremely uniform, which would make them an ideal candidate for use as a partial masking layer for controlling critical implants. Also, the ALD film has the capability to have a masking thickness that ranges from the very thin (on the order of a few angstroms) to very thick.
With this technique, it is possible to imagine implanting separate areas of the wafer with custom implant doses by tailoring the thickness of the masking layer over the various areas of interest, rather than necessitating changing the implant condition itself for each area of interest. This would give a new control knob to device engineers when designing the integration scheme and process flow for their particular device needs, and may allow a device designer to even design around implant tool limitations to achieve lower dose or energy than a tool was originally designed to deliver. This technique may even allow older generation implanters to be extended to new applications that may now require newer and much more expensive implant tools to perform.
Another way to achieve the same result would be to utilize sacrificial silicon (either single crystal, polysilicon, or amorphous) as a partial mask, then strip, etch, oxidize, or silicide the sacrificial silicon to consume the unwanted implant dose in order to leave behind only the desired implant dose and depth. An area where this technique may be especially useful is for elevated source/drain structures where silicon is deposited over the top of ultra-shallow source/drain implants to be consumed by the metal silicide contact. If a small amount of selective silicon were grown before the source/drain implant, the end result after growing the remainder of the selective silicon for contact would be a shallower source/drain compared to a transistor that did not have the partial selective silicon implant mask.
The same effect could be achieved by not masking the wafer at all, but by implanting full dose and depth everywhere on the substrate. After the implant is completed, the wafer could be masked and selectively etched to remove a specific amount of silicon (and consequently a specific amount of implant dose or depth) from regions of interest. Multiple masking and etching steps could produce multiple final doses and depth from a single implant step.
Also, any combination of the above techniques could be performed, such as some areas of the wafer having full or partial masking layers, some areas having full or partial sacrificial silicon masking layers, some areas being selectively etched or metalized following implant, etc. to produce a variety of implant depths and doses from a single or multiple implant steps.
Following the implantation and thermal anneal steps to fix the screening region and threshold voltage control layer in place, as described above, an epitaxial layer is preferably formed thereabove to provide a substantially undoped channel. For the epitaxial deposition, a pre-epitaxy bake is generally used to remove the native oxide from the silicon surface before beginning the epitaxy deposition step. In conventional epi tools, this pre-bake can occur at approximately 800° C./20 Torr for 30-120 sec in hydrogen ambient gas. Other tools or batch furnaces may use lower temperatures (450° C.-750° C.) at approximately 1 Ton pressure, with fluorine or chlorine chemistry added in an ambient of nitrogen, argon, or hydrogen gas for the native oxide removal step.
Epi deposition replicates the starting silicon surface so, if a textured surface exists before deposition begins, the final epitaxy layer will have similar texture. Preferably, the epitaxy is performed in such a manner so as to achieve a smooth surface. The substrate roughness can be alleviated by selecting epi pre-bake (native oxide removal step) conditions that maximize silicon migration during the epi deposition recipe pre-bake step. The hydrogen bake process parameters that could be optimized for improving surface roughness include process chamber pressure (lower is generally better), temperature (higher is generally better), and hydrogen flow (higher is generally better). Silicon migration can smooth the surface during the epi pre-bake, resulting in smoother substrate surface roughness as well as a smoother epitaxy surface. Another way the roughness could be improved would be to perform a silicon migration bake after the epitaxy deposition step has been completed.
Other “epi pre-bake” techniques that may reduce defect density and surface roughness post-SPE recrystallized PAI silicon include adding Cl or HCl etchant gas at very low partial pressure in a hydrogen, nitrogen, or argon ambient. High partial pressures of Cl and HCl are known to roughen the silicon surface during etching steps, but very low partial pressures of the etchant gas may preferentially etch surface high points while the silicon released from the etching process could migrate and preferentially bond at surface low points. Adding a silicon species at very low partial pressure in a hydrogen or argon ambient is also possible (very low growth silicon preferentially planarizes a <100> surface, completing growth of one monolayer before starting the next monolayer). Silane, disilane, and dichlorosilane are silicon gas species useful for this type of technique. Alternatively, an epitaxy silicon growth step under selective silicon deposition conditions may be utilized (where silicon and an etchant gas like Cl or HCl are both delivered to the wafer in a hydrogen or argon ambient), even though a blanket silicon (no exposed dielectric on the wafer surface) deposition is being performed. Much like the previous recommended condition, selective silicon favors layer-by-layer growth, which can smooth the surface during the layer growth.
The method and system herein provides for a flexible implementation. Although described using certain specific examples, it will be apparent to those skilled in the art that the examples are illustrative and that many variations exist. The present method is applicable to a variety of technologies, including planar CMOS, SOL finFETs etc., and it is readily applicable to a variety of devices including, but not limited to, logic devices, memory devices, etc. While particularly useful for blanket epitaxial silicon depositions, including very thin intrinsic silicon deposited over lightly doped substrates, various of the techniques described could be used for heavily doped silicon, silicon alloys, metal layers, and selective silicon deposition. Wafers comprised of any of a variety of semiconducting materials, e.g., silicon, gallium arsenide, etc. can be used. Moreover, such wafers, if appropriate, may be doped with a variety of dopant materials, e.g., arsenic, phosphorous, boron, etc. Lastly, as used herein, the term wafer should be understood to include the bare wafer itself, i.e. bare silicon, or a wafer having an epitaxial silicon layer (epi layer) formed above the bare silicon. As will be understood, wafers and die supporting multiple transistor types, including those with and without the described dopant layers and structures, are contemplated. Electronic devices that include the disclosed transistor structures or are manufactured in accordance with the disclosed processes can incorporate die configured to operate as “systems on a chip” (SoC), advanced microprocessors, radio frequency, memory, and other die with one or more digital and analog transistor configurations, and are capable of supporting a wide range of applications, including wireless telephones, communication devices, “smart phones”, embedded computers, portable computers, personal computers, servers, and other electronic devices. Electronic devices can optionally include both conventional transistors and transistors as disclosed, either on the same die or connected to other die via a motherboard, electrical or optical interconnect, stacking, or through used of 3D wafer bonding or packaging.
Although the present disclosure has been described in detail with reference to a particular embodiment, it should be understood that various other changes, substitutions, and alterations may be made hereto without departing from the spirit and scope of the appended claims. Although the present disclosure includes a description with reference to a specific ordering of processes, other process sequencing may be followed and other incidental process steps may be performed to achieve the end result discussed herein. Numerous other changes, substitutions, variations, alterations, and modifications may be ascertained by those skilled in the art and it is intended that the present disclosure encompass all such changes, substitutions, variations, alterations, and modifications as falling within the spirit and scope of the appended claims. Moreover, the present disclosure is not intended to be limited in any way by any statement in the specification.
This non-provisional application claims the benefit of U.S. Provisional Application No. 61/518,771 entitled “Process for Epitaxial Channel Transistors” and filed May 11, 2011, the entirety of which is hereby incorporated by reference.
Number | Name | Date | Kind |
---|---|---|---|
3958266 | Athanas | May 1976 | A |
4000504 | Berger | Dec 1976 | A |
4021835 | Etoh et al. | May 1977 | A |
4242691 | Kotani et al. | Dec 1980 | A |
4276095 | Beilstein, Jr. et al. | Jun 1981 | A |
4315781 | Henderson | Feb 1982 | A |
4518926 | Swanson | May 1985 | A |
4559091 | Allen et al. | Dec 1985 | A |
4578128 | Mundt et al. | Mar 1986 | A |
4617066 | Vasudev | Oct 1986 | A |
4662061 | Malhi | May 1987 | A |
4761384 | Neppl et al. | Aug 1988 | A |
4780748 | Cunningham et al. | Oct 1988 | A |
4819043 | Yazawa et al. | Apr 1989 | A |
4885477 | Bird et al. | Dec 1989 | A |
4908681 | Nishida et al. | Mar 1990 | A |
4945254 | Robbins | Jul 1990 | A |
4956311 | Liou et al. | Sep 1990 | A |
5034337 | Mosher et al. | Jul 1991 | A |
5144378 | Hikosaka | Sep 1992 | A |
5156989 | Williams et al. | Oct 1992 | A |
5156990 | Mitchell | Oct 1992 | A |
5166765 | Lee et al. | Nov 1992 | A |
5208473 | Komori et al. | May 1993 | A |
5294821 | Iwamatsu | Mar 1994 | A |
5298763 | Shen et al. | Mar 1994 | A |
5369288 | Usuki | Nov 1994 | A |
5373186 | Schubert et al. | Dec 1994 | A |
5384476 | Nishizawa et al. | Jan 1995 | A |
5426328 | Yilmaz et al. | Jun 1995 | A |
5444008 | Han et al. | Aug 1995 | A |
5552332 | Tseng et al. | Sep 1996 | A |
5559368 | Hu et al. | Sep 1996 | A |
5608253 | Liu et al. | Mar 1997 | A |
5622880 | Burr et al. | Apr 1997 | A |
5624863 | Helm et al. | Apr 1997 | A |
5625568 | Edwards et al. | Apr 1997 | A |
5641980 | Yamaguchi et al. | Jun 1997 | A |
5663583 | Matloubian et al. | Sep 1997 | A |
5712501 | Davies et al. | Jan 1998 | A |
5719422 | Burr et al. | Feb 1998 | A |
5726488 | Watanabe et al. | Mar 1998 | A |
5726562 | Mizuno | Mar 1998 | A |
5731626 | Eaglesham et al. | Mar 1998 | A |
5736419 | Naem | Apr 1998 | A |
5753555 | Hada | May 1998 | A |
5754826 | Gamal et al. | May 1998 | A |
5756365 | Kakumu | May 1998 | A |
5763921 | Okumura et al. | Jun 1998 | A |
5780899 | Hu et al. | Jul 1998 | A |
5847419 | Imai et al. | Dec 1998 | A |
5856003 | Chiu | Jan 1999 | A |
5861334 | Rho | Jan 1999 | A |
5877049 | Liu et al. | Mar 1999 | A |
5885876 | Dennen | Mar 1999 | A |
5889315 | Farrenkopf et al. | Mar 1999 | A |
5895954 | Yasumura et al. | Apr 1999 | A |
5899714 | Farrenkopf et al. | May 1999 | A |
5918129 | Fulford, Jr. et al. | Jun 1999 | A |
5923067 | Voldman | Jul 1999 | A |
5923987 | Burr | Jul 1999 | A |
5936868 | Hall | Aug 1999 | A |
5946214 | Heavlin et al. | Aug 1999 | A |
5985705 | Seliskar | Nov 1999 | A |
5989963 | Luning et al. | Nov 1999 | A |
6001695 | Wu | Dec 1999 | A |
6020227 | Bulucea | Feb 2000 | A |
6043139 | Eaglesham et al. | Mar 2000 | A |
6060345 | Hause et al. | May 2000 | A |
6060364 | Maszara et al. | May 2000 | A |
6066533 | Yu | May 2000 | A |
6072217 | Burr | Jun 2000 | A |
6087210 | Sohn | Jul 2000 | A |
6087691 | Hamamoto | Jul 2000 | A |
6088518 | Hsu | Jul 2000 | A |
6091286 | Blauschild | Jul 2000 | A |
6096611 | Wu | Aug 2000 | A |
6103562 | Son et al. | Aug 2000 | A |
6121153 | Kikkawa | Sep 2000 | A |
6147383 | Kuroda | Nov 2000 | A |
6153920 | Gossmann et al. | Nov 2000 | A |
6157073 | Lehongres | Dec 2000 | A |
6175582 | Naito et al. | Jan 2001 | B1 |
6184112 | Maszara et al. | Feb 2001 | B1 |
6190979 | Radens et al. | Feb 2001 | B1 |
6194259 | Nayak et al. | Feb 2001 | B1 |
6198157 | Ishida et al. | Mar 2001 | B1 |
6218892 | Soumyanath et al. | Apr 2001 | B1 |
6218895 | De et al. | Apr 2001 | B1 |
6221724 | Yu et al. | Apr 2001 | B1 |
6229188 | Aoki et al. | May 2001 | B1 |
6232164 | Tsai et al. | May 2001 | B1 |
6235597 | Miles | May 2001 | B1 |
6245618 | An et al. | Jun 2001 | B1 |
6268640 | Park et al. | Jul 2001 | B1 |
6271070 | Kotani et al. | Aug 2001 | B2 |
6271551 | Schmitz et al. | Aug 2001 | B1 |
6288429 | Iwata et al. | Sep 2001 | B1 |
6297132 | Zhang et al. | Oct 2001 | B1 |
6300177 | Sundaresan et al. | Oct 2001 | B1 |
6313489 | Letavic et al. | Nov 2001 | B1 |
6319799 | Ouyang et al. | Nov 2001 | B1 |
6320222 | Forbes et al. | Nov 2001 | B1 |
6323525 | Noguchi et al. | Nov 2001 | B1 |
6326666 | Bernstein et al. | Dec 2001 | B1 |
6335233 | Cho et al. | Jan 2002 | B1 |
6358806 | Puchner | Mar 2002 | B1 |
6380019 | Yu et al. | Apr 2002 | B1 |
6391752 | Colinge et al. | May 2002 | B1 |
6426260 | Hshieh | Jul 2002 | B1 |
6426279 | Huster et al. | Jul 2002 | B1 |
6432754 | Assaderaghi et al. | Aug 2002 | B1 |
6444550 | Hao et al. | Sep 2002 | B1 |
6444551 | Ku et al. | Sep 2002 | B1 |
6449749 | Stine | Sep 2002 | B1 |
6461920 | Shirahata | Oct 2002 | B1 |
6461928 | Rodder | Oct 2002 | B2 |
6472278 | Marshall et al. | Oct 2002 | B1 |
6482714 | Hieda et al. | Nov 2002 | B1 |
6489224 | Burr | Dec 2002 | B1 |
6492232 | Tang et al. | Dec 2002 | B1 |
6500739 | Wang et al. | Dec 2002 | B1 |
6503801 | Rouse et al. | Jan 2003 | B1 |
6503805 | Wang et al. | Jan 2003 | B2 |
6506640 | Ishida et al. | Jan 2003 | B1 |
6518623 | Oda et al. | Feb 2003 | B1 |
6521470 | Lin et al. | Feb 2003 | B1 |
6534373 | Yu | Mar 2003 | B1 |
6541328 | Whang et al. | Apr 2003 | B2 |
6541829 | Nishinohara et al. | Apr 2003 | B2 |
6548842 | Bulucea et al. | Apr 2003 | B1 |
6551885 | Yu | Apr 2003 | B1 |
6552377 | Yu | Apr 2003 | B1 |
6573129 | Hoke et al. | Jun 2003 | B2 |
6576535 | Drobny et al. | Jun 2003 | B2 |
6600200 | Lustig et al. | Jul 2003 | B1 |
6620671 | Wang et al. | Sep 2003 | B1 |
6624488 | Kim | Sep 2003 | B1 |
6627473 | Oikawa et al. | Sep 2003 | B1 |
6630710 | Augusto | Oct 2003 | B1 |
6660605 | Liu | Dec 2003 | B1 |
6662350 | Fried et al. | Dec 2003 | B2 |
6667200 | Sohn et al. | Dec 2003 | B2 |
6670260 | Yu et al. | Dec 2003 | B1 |
6693333 | Yu | Feb 2004 | B1 |
6730568 | Sohn | May 2004 | B2 |
6737724 | Hieda et al. | May 2004 | B2 |
6743291 | Ang et al. | Jun 2004 | B2 |
6743684 | Liu | Jun 2004 | B2 |
6751519 | Satya et al. | Jun 2004 | B1 |
6753230 | Sohn et al. | Jun 2004 | B2 |
6760900 | Rategh et al. | Jul 2004 | B2 |
6770944 | Nishinohara et al. | Aug 2004 | B2 |
6787424 | Yu | Sep 2004 | B1 |
6797553 | Adkisson et al. | Sep 2004 | B2 |
6797602 | Kluth et al. | Sep 2004 | B1 |
6797994 | Hoke et al. | Sep 2004 | B1 |
6808004 | Kamm et al. | Oct 2004 | B2 |
6808994 | Wang | Oct 2004 | B1 |
6813750 | Usami et al. | Nov 2004 | B2 |
6821825 | Todd et al. | Nov 2004 | B2 |
6821852 | Rhodes | Nov 2004 | B2 |
6822297 | Nandakumar et al. | Nov 2004 | B2 |
6831292 | Currie et al. | Dec 2004 | B2 |
6835639 | Rotondaro et al. | Dec 2004 | B2 |
6852602 | Kanzawa et al. | Feb 2005 | B2 |
6852603 | Chakravarthi et al. | Feb 2005 | B2 |
6881641 | Wieczorek et al. | Apr 2005 | B2 |
6881987 | Sohn | Apr 2005 | B2 |
6891439 | Jachne et al. | May 2005 | B2 |
6893947 | Martinez et al. | May 2005 | B2 |
6900519 | Cantell et al. | May 2005 | B2 |
6901564 | Stine et al. | May 2005 | B2 |
6916698 | Mocuta et al. | Jul 2005 | B2 |
6917237 | Tschanz et al. | Jul 2005 | B1 |
6927463 | Iwata et al. | Aug 2005 | B2 |
6928128 | Sidiropoulos | Aug 2005 | B1 |
6930007 | Bu et al. | Aug 2005 | B2 |
6930360 | Yamauchi et al. | Aug 2005 | B2 |
6957163 | Ando | Oct 2005 | B2 |
6963090 | Passlack et al. | Nov 2005 | B2 |
6972223 | Weimer et al. | Dec 2005 | B2 |
6995397 | Yamashita et al. | Feb 2006 | B2 |
7002214 | Boyd et al. | Feb 2006 | B1 |
7008836 | Algotsson et al. | Mar 2006 | B2 |
7013359 | Li | Mar 2006 | B1 |
7015546 | Herr et al. | Mar 2006 | B2 |
7015741 | Tschanz et al. | Mar 2006 | B2 |
7022559 | Barnak et al. | Apr 2006 | B2 |
7036098 | Eleyan et al. | Apr 2006 | B2 |
7038258 | Liu et al. | May 2006 | B2 |
7039881 | Regan | May 2006 | B2 |
7045456 | Murto et al. | May 2006 | B2 |
7057216 | Ouyang et al. | Jun 2006 | B2 |
7061058 | Chakravarthi et al. | Jun 2006 | B2 |
7064039 | Liu | Jun 2006 | B2 |
7064399 | Babcock et al. | Jun 2006 | B2 |
7071103 | Chan et al. | Jul 2006 | B2 |
7078325 | Curello et al. | Jul 2006 | B2 |
7078776 | Nishinohara et al. | Jul 2006 | B2 |
7089513 | Bard et al. | Aug 2006 | B2 |
7089515 | Hanafi et al. | Aug 2006 | B2 |
7091093 | Noda et al. | Aug 2006 | B1 |
7105399 | Dakshina-Murthy et al. | Sep 2006 | B1 |
7109099 | Tan et al. | Sep 2006 | B2 |
7119381 | Passlack | Oct 2006 | B2 |
7122411 | Mouli | Oct 2006 | B2 |
7127687 | Signore | Oct 2006 | B1 |
7132323 | Haensch et al. | Nov 2006 | B2 |
7169675 | Tan et al. | Jan 2007 | B2 |
7170120 | Datta et al. | Jan 2007 | B2 |
7176137 | Perng et al. | Feb 2007 | B2 |
7186598 | Yamauchi et al. | Mar 2007 | B2 |
7189627 | Wu et al. | Mar 2007 | B2 |
7199430 | Babcock et al. | Apr 2007 | B2 |
7202517 | Dixit et al. | Apr 2007 | B2 |
7208354 | Bauer | Apr 2007 | B2 |
7211871 | Cho | May 2007 | B2 |
7221021 | Wu et al. | May 2007 | B2 |
7223646 | Miyashita et al. | May 2007 | B2 |
7226833 | White et al. | Jun 2007 | B2 |
7226843 | Weber et al. | Jun 2007 | B2 |
7230680 | Fujisawa et al. | Jun 2007 | B2 |
7235822 | Li | Jun 2007 | B2 |
7256639 | Koniaris et al. | Aug 2007 | B1 |
7259428 | Inaba | Aug 2007 | B2 |
7260562 | Czajkowski et al. | Aug 2007 | B2 |
7294877 | Rueckes et al. | Nov 2007 | B2 |
7297994 | Wieczorek et al. | Nov 2007 | B2 |
7301208 | Handa et al. | Nov 2007 | B2 |
7304350 | Misaki | Dec 2007 | B2 |
7307471 | Gammie et al. | Dec 2007 | B2 |
7312500 | Miyashita et al. | Dec 2007 | B2 |
7323754 | Ema et al. | Jan 2008 | B2 |
7332439 | Lindert et al. | Feb 2008 | B2 |
7339215 | Chidambaram | Mar 2008 | B2 |
7348629 | Chu et al. | Mar 2008 | B2 |
7354833 | Liaw | Apr 2008 | B2 |
7380225 | Joshi et al. | May 2008 | B2 |
7398497 | Sato et al. | Jul 2008 | B2 |
7402207 | Besser et al. | Jul 2008 | B1 |
7402872 | Murthy et al. | Jul 2008 | B2 |
7416605 | Zollner et al. | Aug 2008 | B2 |
7427788 | Li et al. | Sep 2008 | B2 |
7442971 | Wirbeleit et al. | Oct 2008 | B2 |
7449733 | Inaba et al. | Nov 2008 | B2 |
7462908 | Bol et al. | Dec 2008 | B2 |
7469164 | Du-Nour | Dec 2008 | B2 |
7470593 | Rouh et al. | Dec 2008 | B2 |
7485536 | Jin et al. | Feb 2009 | B2 |
7487474 | Ciplickas et al. | Feb 2009 | B2 |
7491988 | Tolchinsky et al. | Feb 2009 | B2 |
7494861 | Chu et al. | Feb 2009 | B2 |
7496862 | Chang et al. | Feb 2009 | B2 |
7496867 | Turner et al. | Feb 2009 | B2 |
7498637 | Yamaoka et al. | Mar 2009 | B2 |
7501324 | Babcock et al. | Mar 2009 | B2 |
7503020 | Allen et al. | Mar 2009 | B2 |
7507999 | Kusumoto et al. | Mar 2009 | B2 |
7514766 | Yoshida | Apr 2009 | B2 |
7521323 | Surdeanu et al. | Apr 2009 | B2 |
7524740 | Liu et al. | Apr 2009 | B1 |
7531393 | Doyle et al. | May 2009 | B2 |
7531836 | Liu et al. | May 2009 | B2 |
7538364 | Twynam | May 2009 | B2 |
7538412 | Schulze et al. | May 2009 | B2 |
7553717 | Chakravarthi et al. | Jun 2009 | B2 |
7562233 | Sheng et al. | Jul 2009 | B1 |
7564105 | Chi et al. | Jul 2009 | B2 |
7566600 | Mouli | Jul 2009 | B2 |
7569456 | Ko et al. | Aug 2009 | B2 |
7586322 | Xu et al. | Sep 2009 | B1 |
7592241 | Takao | Sep 2009 | B2 |
7595243 | Bulucea et al. | Sep 2009 | B1 |
7598142 | Ranade et al. | Oct 2009 | B2 |
7605041 | Ema et al. | Oct 2009 | B2 |
7605060 | Meunier-Beillard et al. | Oct 2009 | B2 |
7605429 | Bernstein et al. | Oct 2009 | B2 |
7608496 | Chu | Oct 2009 | B2 |
7615802 | Elpelt et al. | Nov 2009 | B2 |
7622341 | Chudzik et al. | Nov 2009 | B2 |
7638380 | Pearce | Dec 2009 | B2 |
7642140 | Bae et al. | Jan 2010 | B2 |
7644377 | Saxe et al. | Jan 2010 | B1 |
7645665 | Kubo et al. | Jan 2010 | B2 |
7651920 | Siprak | Jan 2010 | B2 |
7655523 | Babcock et al. | Feb 2010 | B2 |
7673273 | Madurawe et al. | Mar 2010 | B2 |
7675126 | Cho | Mar 2010 | B2 |
7675317 | Perisetty | Mar 2010 | B2 |
7678631 | Murthy et al. | Mar 2010 | B2 |
7678638 | Chu et al. | Mar 2010 | B2 |
7681628 | Joshi et al. | Mar 2010 | B2 |
7682887 | Dokumaci et al. | Mar 2010 | B2 |
7683442 | Burr et al. | Mar 2010 | B1 |
7696000 | Liu et al. | Apr 2010 | B2 |
7704822 | Jeong | Apr 2010 | B2 |
7704844 | Zhu et al. | Apr 2010 | B2 |
7709828 | Braithwaite et al. | May 2010 | B2 |
7723750 | Zhu et al. | May 2010 | B2 |
7737472 | Kondo et al. | Jun 2010 | B2 |
7741138 | Cho | Jun 2010 | B2 |
7741200 | Cho et al. | Jun 2010 | B2 |
7745270 | Shah et al. | Jun 2010 | B2 |
7750374 | Capasso et al. | Jul 2010 | B2 |
7750381 | Hokazono et al. | Jul 2010 | B2 |
7750405 | Nowak | Jul 2010 | B2 |
7750682 | Bernstein et al. | Jul 2010 | B2 |
7755144 | Li et al. | Jul 2010 | B2 |
7755146 | Helm et al. | Jul 2010 | B2 |
7759206 | Luo et al. | Jul 2010 | B2 |
7759714 | Itoh et al. | Jul 2010 | B2 |
7761820 | Berger et al. | Jul 2010 | B2 |
7795677 | Bangsaruntip et al. | Sep 2010 | B2 |
7808045 | Kawahara et al. | Oct 2010 | B2 |
7808410 | Kim et al. | Oct 2010 | B2 |
7811873 | Mochizuki | Oct 2010 | B2 |
7811881 | Cheng et al. | Oct 2010 | B2 |
7818702 | Mandelman et al. | Oct 2010 | B2 |
7821066 | Lebby et al. | Oct 2010 | B2 |
7829402 | Matocha et al. | Nov 2010 | B2 |
7831873 | Trimberger et al. | Nov 2010 | B1 |
7846822 | Seebauer et al. | Dec 2010 | B2 |
7855118 | Hoentschel et al. | Dec 2010 | B2 |
7859013 | Chen et al. | Dec 2010 | B2 |
7863163 | Bauer | Jan 2011 | B2 |
7867835 | Lee et al. | Jan 2011 | B2 |
7883977 | Babcock et al. | Feb 2011 | B2 |
7888205 | Herner et al. | Feb 2011 | B2 |
7888747 | Hokazono | Feb 2011 | B2 |
7895546 | Lahner et al. | Feb 2011 | B2 |
7897495 | Ye et al. | Mar 2011 | B2 |
7906413 | Cardone et al. | Mar 2011 | B2 |
7906813 | Kato | Mar 2011 | B2 |
7910419 | Fenouillet-Beranger et al. | Mar 2011 | B2 |
7919791 | Flynn et al. | Apr 2011 | B2 |
7926018 | Moroz et al. | Apr 2011 | B2 |
7935984 | Nakano | May 2011 | B2 |
7941776 | Majumder et al. | May 2011 | B2 |
7945800 | Gomm et al. | May 2011 | B2 |
7948008 | Liu et al. | May 2011 | B2 |
7952147 | Ueno et al. | May 2011 | B2 |
7960232 | King et al. | Jun 2011 | B2 |
7960238 | Kohli et al. | Jun 2011 | B2 |
7968400 | Cai | Jun 2011 | B2 |
7968411 | Williford | Jun 2011 | B2 |
7968440 | Seebauer | Jun 2011 | B2 |
7968459 | Bedell et al. | Jun 2011 | B2 |
7989900 | Haensch et al. | Aug 2011 | B2 |
7994573 | Pan | Aug 2011 | B2 |
8004024 | Furukawa et al. | Aug 2011 | B2 |
8012827 | Yu et al. | Sep 2011 | B2 |
8029620 | Kim et al. | Oct 2011 | B2 |
8039332 | Bernard et al. | Oct 2011 | B2 |
8046598 | Lee | Oct 2011 | B2 |
8048791 | Hargrove et al. | Nov 2011 | B2 |
8048810 | Tsai et al. | Nov 2011 | B2 |
8051340 | Cranford, Jr. et al. | Nov 2011 | B2 |
8053340 | Colombeau et al. | Nov 2011 | B2 |
8063466 | Kurita | Nov 2011 | B2 |
8067279 | Sadra et al. | Nov 2011 | B2 |
8067280 | Wang et al. | Nov 2011 | B2 |
8067302 | Li | Nov 2011 | B2 |
8076719 | Zeng et al. | Dec 2011 | B2 |
8097529 | Krull et al. | Jan 2012 | B2 |
8103983 | Agarwal et al. | Jan 2012 | B2 |
8105891 | Yeh et al. | Jan 2012 | B2 |
8106424 | Schruefer | Jan 2012 | B2 |
8106481 | Rao | Jan 2012 | B2 |
8110487 | Griebenow et al. | Feb 2012 | B2 |
8114761 | Mandrekar et al. | Feb 2012 | B2 |
8119482 | Bhalla et al. | Feb 2012 | B2 |
8120069 | Hynecek | Feb 2012 | B2 |
8129246 | Babcock et al. | Mar 2012 | B2 |
8129797 | Chen et al. | Mar 2012 | B2 |
8134159 | Hokazono | Mar 2012 | B2 |
8143120 | Kerr et al. | Mar 2012 | B2 |
8143124 | Challa et al. | Mar 2012 | B2 |
8143678 | Kim et al. | Mar 2012 | B2 |
8148774 | Mori et al. | Apr 2012 | B2 |
8163619 | Yang et al. | Apr 2012 | B2 |
8169002 | Chang et al. | May 2012 | B2 |
8170857 | Joshi et al. | May 2012 | B2 |
8173499 | Chung et al. | May 2012 | B2 |
8173502 | Yan et al. | May 2012 | B2 |
8176461 | Trimberger | May 2012 | B1 |
8178430 | Kim et al. | May 2012 | B2 |
8179530 | Levy et al. | May 2012 | B2 |
8183096 | Wirbeleit | May 2012 | B2 |
8183107 | Mathur et al. | May 2012 | B2 |
8185865 | Gupta et al. | May 2012 | B2 |
8187959 | Pawlak et al. | May 2012 | B2 |
8188542 | Yoo et al. | May 2012 | B2 |
8196545 | Kurosawa | Jun 2012 | B2 |
8201122 | Dewey, Iii et al. | Jun 2012 | B2 |
8214190 | Joshi et al. | Jul 2012 | B2 |
8217423 | Liu et al. | Jul 2012 | B2 |
8225255 | Ouyang et al. | Jul 2012 | B2 |
8227307 | Chen et al. | Jul 2012 | B2 |
8236661 | Dennard et al. | Aug 2012 | B2 |
8239803 | Kobayashi | Aug 2012 | B2 |
8247300 | Babcock et al. | Aug 2012 | B2 |
8255843 | Chen et al. | Aug 2012 | B2 |
8258026 | Bulucea | Sep 2012 | B2 |
8266567 | El Yahyaoui et al. | Sep 2012 | B2 |
8273617 | Thompson et al. | Sep 2012 | B2 |
8286180 | Foo | Oct 2012 | B2 |
8288798 | Passlack | Oct 2012 | B2 |
8299562 | Li et al. | Oct 2012 | B2 |
8324059 | Guo et al. | Dec 2012 | B2 |
20010014495 | Yu | Aug 2001 | A1 |
20020001907 | Weon et al. | Jan 2002 | A1 |
20020042184 | Nandakumar et al. | Apr 2002 | A1 |
20030006415 | Yokogawa et al. | Jan 2003 | A1 |
20030047763 | Hieda et al. | Mar 2003 | A1 |
20030122203 | Nishinohara et al. | Jul 2003 | A1 |
20030173626 | Burr | Sep 2003 | A1 |
20030183856 | Wieczorek et al. | Oct 2003 | A1 |
20030215992 | Sohn et al. | Nov 2003 | A1 |
20040075118 | Heinemann et al. | Apr 2004 | A1 |
20040075143 | Bae et al. | Apr 2004 | A1 |
20040084731 | Matsuda et al. | May 2004 | A1 |
20040087090 | Grudowski et al. | May 2004 | A1 |
20040126947 | Sohn | Jul 2004 | A1 |
20040175893 | Vatus et al. | Sep 2004 | A1 |
20040180488 | Lee | Sep 2004 | A1 |
20050106824 | Alberto et al. | May 2005 | A1 |
20050116282 | Pattanayak et al. | Jun 2005 | A1 |
20050250289 | Babcock et al. | Nov 2005 | A1 |
20050280075 | Ema et al. | Dec 2005 | A1 |
20060022270 | Boyd et al. | Feb 2006 | A1 |
20060024876 | Chidambaram et al. | Feb 2006 | A1 |
20060049464 | Rao | Mar 2006 | A1 |
20060068555 | Zhu et al. | Mar 2006 | A1 |
20060068586 | Pain | Mar 2006 | A1 |
20060071278 | Takao | Apr 2006 | A1 |
20060154428 | Dokumaci | Jul 2006 | A1 |
20060197158 | Babcock et al. | Sep 2006 | A1 |
20060203581 | Joshi et al. | Sep 2006 | A1 |
20060220114 | Miyashita et al. | Oct 2006 | A1 |
20060223248 | Venugopal et al. | Oct 2006 | A1 |
20070040222 | Van Camp et al. | Feb 2007 | A1 |
20070117326 | Tan et al. | May 2007 | A1 |
20070158790 | Rao | Jul 2007 | A1 |
20070212861 | Chidambarrao et al. | Sep 2007 | A1 |
20070238253 | Tucker | Oct 2007 | A1 |
20080067589 | Ito et al. | Mar 2008 | A1 |
20080108208 | Arevalo et al. | May 2008 | A1 |
20080169493 | Lee et al. | Jul 2008 | A1 |
20080169516 | Chung | Jul 2008 | A1 |
20080194069 | Surdeanu et al. | Aug 2008 | A1 |
20080197439 | Goerlach et al. | Aug 2008 | A1 |
20080199999 | Weijtmans et al. | Aug 2008 | A1 |
20080227250 | Ranade et al. | Sep 2008 | A1 |
20080237661 | Ranade et al. | Oct 2008 | A1 |
20080258198 | Bojarczuk et al. | Oct 2008 | A1 |
20080272409 | Sonkusale et al. | Nov 2008 | A1 |
20090057746 | Sugll et al. | Mar 2009 | A1 |
20090079008 | Nandakumar et al. | Mar 2009 | A1 |
20090081858 | Qin et al. | Mar 2009 | A1 |
20090108350 | Cai et al. | Apr 2009 | A1 |
20090134468 | Tsuchiya et al. | May 2009 | A1 |
20090179280 | Kohli et al. | Jul 2009 | A1 |
20090224319 | Kohli | Sep 2009 | A1 |
20090278209 | Noda | Nov 2009 | A1 |
20090286367 | Krull et al. | Nov 2009 | A1 |
20090302388 | Cai et al. | Dec 2009 | A1 |
20090309140 | Khamankar et al. | Dec 2009 | A1 |
20090311837 | Kapoor | Dec 2009 | A1 |
20090321849 | Miyamura et al. | Dec 2009 | A1 |
20100012988 | Yang et al. | Jan 2010 | A1 |
20100038724 | Anderson et al. | Feb 2010 | A1 |
20100078729 | Fukutome et al. | Apr 2010 | A1 |
20100100856 | Mittal | Apr 2010 | A1 |
20100133624 | Nandakumar et al. | Jun 2010 | A1 |
20100148153 | Hudait et al. | Jun 2010 | A1 |
20100149854 | Vora | Jun 2010 | A1 |
20100187641 | Zhu et al. | Jul 2010 | A1 |
20100207182 | Paschal | Aug 2010 | A1 |
20100270600 | Inukai et al. | Oct 2010 | A1 |
20100276761 | Tung et al. | Nov 2010 | A1 |
20110059588 | Kang | Mar 2011 | A1 |
20110073961 | Dennard et al. | Mar 2011 | A1 |
20110074498 | Thompson et al. | Mar 2011 | A1 |
20110079860 | Verhulst | Apr 2011 | A1 |
20110079861 | Shifren et al. | Apr 2011 | A1 |
20110095811 | Chi et al. | Apr 2011 | A1 |
20110147828 | Murthy et al. | Jun 2011 | A1 |
20110169082 | Zhu et al. | Jul 2011 | A1 |
20110175140 | Taylor et al. | Jul 2011 | A1 |
20110175170 | Wang et al. | Jul 2011 | A1 |
20110180880 | Chudzik et al. | Jul 2011 | A1 |
20110193164 | Zhu | Aug 2011 | A1 |
20110212590 | Wu et al. | Sep 2011 | A1 |
20110230039 | Mowry et al. | Sep 2011 | A1 |
20110242921 | Tran et al. | Oct 2011 | A1 |
20110248352 | Shifren et al. | Oct 2011 | A1 |
20110294278 | Eguchi et al. | Dec 2011 | A1 |
20110309447 | Arghavani et al. | Dec 2011 | A1 |
20120021594 | Gurtej et al. | Jan 2012 | A1 |
20120034745 | Colombeau et al. | Feb 2012 | A1 |
20120056275 | Cai et al. | Mar 2012 | A1 |
20120065920 | Nagumo et al. | Mar 2012 | A1 |
20120080777 | Hamaguchi et al. | Apr 2012 | A1 |
20120108050 | Chen et al. | May 2012 | A1 |
20120132998 | Kwon et al. | May 2012 | A1 |
20120138953 | Cai et al. | Jun 2012 | A1 |
20120146155 | Hoentschel et al. | Jun 2012 | A1 |
20120161210 | Heinrich et al. | Jun 2012 | A1 |
20120167025 | Gillespie et al. | Jun 2012 | A1 |
20120187491 | Zhu et al. | Jul 2012 | A1 |
20120190177 | Kim et al. | Jul 2012 | A1 |
20120223363 | Kronholz et al. | Sep 2012 | A1 |
Number | Date | Country |
---|---|---|
0274278 | Jul 1988 | EP |
0312237 | Apr 1989 | EP |
0531621 | Mar 1993 | EP |
0683515 | Nov 1995 | EP |
0889502 | Jan 1999 | EP |
1450394 | Aug 2004 | EP |
59193066 | Nov 1984 | JP |
4186774 | Jul 1992 | JP |
8153873 | Jun 1996 | JP |
8288508 | Nov 1996 | JP |
2004087671 | Mar 2004 | JP |
794094 | Jan 2008 | KR |
WO 2005093831 | Oct 2005 | WO |
WO2011062788 | May 2011 | WO |
Entry |
---|
Banerjee, et al. “Compensating Non-Optical Effects using Electrically-Driven Optical Proximity Correction”, Proc. of SPIE vol. 7275 7275OE, 2009. |
Cheng, et al. “Extremely Thin SOI (ETSOI) CMOS with Record Low Variability for Low Power System-on-Chip Applications”, Electron Devices Meeting (IEDM), Dec. 2009. |
Cheng, et al. “Fully Depleted Extremely Thin SOI Technology Fabricated by a Novel Integration Scheme Feturing Implant-Free, Zero-Silicon-Loss, and Faceted Raised Source/Drain”, Symposium on VLSI Technology Digest of Technical Papers, pp. 212-213, 2009. |
Drennan, et al. “Implications of Proximity Effects for Analog Design”, Custom Integrated Circuits Conference, pp. 169-176, Sep. 2006. |
Hook, et al. “Lateral Ion Implant Straggle and Mask Proximity Effect”, IEEE Transactions on Electron Devices, vol. 50, No. 9, pp. 1946-1951, Sep. 2003. |
Hori, et al., “A 0.1 μm CMOS with a Step Channel Profile Formed by Ultra High Vacuum CVD and In-Situ Doped Ions”, Proceedsing of the International Electron Devices Meeting, New York, IEEE, US, pp. 909-911, Dec. 5, 1993. |
Matshuashi, et al. “High-Performance Double-Layer Epitaxial-Channel PMOSFET Compatible with a Single Gate CMOSFET”, Symposium on VLSI Technology Digest of Technical Papers, pp. 36-37, 1996. |
Shao, et al., “Boron Diffusion in Silicon: The Anomalies and Control by Point Defect Engineering”, Materials Science and Engineering R: Reports, vol. 42, No. 3-4, pp. 65-114, Nov. 1, 2003, Nov. 2012. |
Sheu, et al. “Modeling the Well-Edge Proximity Effect in Highly Scaled MOSFETs”, IEEE Transactions on Electron Devices, vol. 53, No. 11, pp. 2792-2798, Nov. 2006. |
Komaragiri, R. et al., “Depletion-Free Poly Gate Electrode Architecture for Sub 100 Nanometer CMOS Devices with High-K Gate Dielectrics”, IEEE IEDM Tech Dig., San Francisco CA, 833-836, Dec. 13-15, 2004. |
Samsudin, K et al., “Integrating Intrinsic Parameter Fluctuation Description into BSIMSOI to Forecast sub-15nm UTB SOI based 6T SRAM Operation”, Solid-State Electronics (50), pp. 86-93, 2006. |
Wong, H et al., “Nanoscale CMOS”, Proceedings of the IEEE, Vo. 87, No. 4, pp. 537-570, Apr. 1999. |
Abiko, H et al., “A Channel Engineering Combined with Channel Epitaxy Optimization and TED Suppression for 0.15μm n-n Gate CMOS Technology”, 1995 Symposium on VLSI Technology Digest of Technical Papers, pp. 23-24, 1995. |
Chau, R et al., “A 50nm Depleted-Substrate CMOS Transistor (DST)”, Electron Device Meeting 2001, IEDM Technical Digest, IEEE International, pp. 29.1.1-29.1.4, 2001. |
Ducroquet, F et al. “Fully Depleted Silicon-On-Insulator nMOSFETs with Tensile Strained High Carbon Content Sil-yCy Channel”, ECS 210th Meeting, Abstract 1033, 2006. |
Ernst, T et al., “Nanoscaled MOSFET Transistors on Strained Si, SiGe, Ge Layers: Some Integration and Electrical Properties Features”, ECS Trans. 2006, vol. 3, Issue 7, pp. 947-961, 2006. |
Goesele, U et al., Diffusion Engineering by Carbon in Silicon, Mat. Res. Soc. Symp. vol. 610, 2000. |
Hokazono, A et al., “Steep Channel & Halo Profiles Utilizing Boron-Diffusion-Barrier Layers (Si:C) for 32 nm Node and Beyond”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 112-113, 2008. |
Hokazono, A et al., “Steep Channel Profiles in n/pMOS Controlled by Boron-Doped Si:C Layers for Continual Bulk-CMOS Scaling”, IEDM09-676 Symposium, pp. 29.1.1-29.1.4, 2009. |
Holland, OW and Thomas, DK “A Method to Improve Activation of Implanted Dopants in SiC”, Oak Ridge National Laboratory, Oak Ridge, TN, 2001. |
Kotaki, H., et al., “Novel Bulk Dynamic Threshold Voltage MOSFET (B-DTMOS) with Advanced Isolation (SITOS) and Gate to Shallow-Well Contact (SSS-C) Processes for Ultra Low Power Dual Gate CMOS”, IEDM 96, pp. 459-462, 1996. |
Lavéant, P. “Incorporation, Diffusion and Agglomeration of Carbon in Silicon”, Solid State Phenomena, vols. 82-84, pp. 189-194, 2002. |
Noda, K et al., “A 0.1-μm Delta-Doped MOSFET Fabricated with Post-Low-Energy Implanting Selective Epitaxy” IEEE Transactions on Electron Devices, vol. 45, No. 4, pp. 809-814, Apr. 1998. |
Ohguro, Tet al., “An 0.18-μm CMOS for Mixed Digital and Analog Aplications with Zero-Volt-Vth Epitaxial-Channel MOSFET's”, IEEE Transactions on Electron Devices, vol. 46, No. 7, pp. 1378 -1383, Jul. 1999. |
Pinacho, R et al., “Carbon in Silicon: Modeling of Diffusion and Clustering Mechanisms”, Journal of Applied Physics, vol. 92, No. 3, pp. 1582-1588, Aug. 2002. |
Robertson, LS et al., “The Effect of Impurities on Diffusion and Activation of Ion Implanted Boron in Silicon”, Mat. Res. Soc. Symp. vol. 610, 2000. |
Scholz, R et al., “Carbon-Induced Undersaturation of Silicon Self-Interstitials”, Appl. Phys. Lett. 72(2), pp. 200-202, Jan. 1998. |
Scholz, RF et al., “The Contrbution of Vacancies to Carbon Out-Diffusion in Silicon”, Appl. Phys. Lett., vol. 74, No. 3, pp. 392-394, Jan. 1999. |
Stolk, PA et al., “Physical Mechanisms of Transient Enhanced Dopant Diffusion in Ion-Implanted Silicon”, J. Appl. Phys. 81(9), pp. 6031-6050, May 1997. |
Thompson, S et al., “MOS Scaling: Transistor Challenges for the 21st Century”, Intel Technology Journal Q3' 1998, pp. 1-19, 1998. |
Wann, C. et al., “Channel Profile Optimization and Device Design for Low-Power High-Performance Dynamic-Threshold MOSFET”, IEDM 96, pp. 113-116, 1996. |
Werner, P et al., “Carbon Diffusion in Silicon”, Applied Physics Letters, vol. 73, No. 17, pp. 2465-2467, Oct. 1998. |
Yan, Ran-Hong et al., “Scaling the Si MOSFET: From Bulk to SOI to Bulk”, IEEE Transactions on Electron Devices, vol. 39, No. 7, Jul. 1992. |
Number | Date | Country | |
---|---|---|---|
61518771 | May 2011 | US |