System on chip (SoC) builder

Information

  • Patent Grant
  • 11176302
  • Patent Number
    11,176,302
  • Date Filed
    Friday, January 25, 2019
    5 years ago
  • Date Issued
    Tuesday, November 16, 2021
    2 years ago
  • CPC
  • Field of Search
    • US
    • 716 118000
    • CPC
    • G06F30/392
    • G06F30/30
    • G06F30/33
    • H01L23/3114
  • International Classifications
    • G06F30/30
    • G06F30/392
    • H01L23/31
    • G06F30/33
    • Term Extension
      315
Abstract
Methods and example implementations described herein are generally directed to a System on Chip (SoC) design and verification system and method that constructs SoC from functional building blocks circuits while concurrently taking into account numerous chip level design aspects along with the generation of a simulation environment for design verification. An aspect of the present disclosure relates to a method for generating a System on Chip (SoC) from a floor plan having one or more integration descriptions. The method includes the steps of generating one or more connections between the integration descriptions of the floor plan based at least on a traffic specification, and conducting a design check process on the floor plan. If the design check process on the floor plan is indicative of passing the design check process, then the method generates the SoC according to the one or more connections generated between the integration descriptions.
Description
BACKGROUND
Field

Methods and example implementations described herein are generally directed to an interconnect architecture, and more specifically, to System on Chip (SoC) design and verification system and method that constructs SoC from functional building blocks circuits while concurrently taking into account numerous chip level design aspects along with the generation of a simulation environment for design verification.


Related Art

The number of components on a chip is rapidly growing due to increasing levels of integration, system complexity and shrinking transistor geometry. Complex System-on-Chips (SoCs) may involve a variety of components e.g., processor cores, DSPs, hardware accelerators, memory and I/O, while Chip Multi-Processors (CMPs) may involve a large number of homogenous processor cores, memory and I/O subsystems. In both SoC and CMP systems, the on-chip interconnect plays a role in providing high-performance communication between the various components. Due to scalability limitations of traditional buses and crossbar based interconnects, Network-on-Chip (NoC) has emerged as a paradigm to interconnect a large number of components on the chip. NoC is a global shared communication infrastructure made up of several routing nodes interconnected with each other using point-to-point physical links.


Messages are injected by the source and are routed from the source node to the destination over multiple intermediate nodes and physical links. The destination node then ejects the message and provides the message to the destination. For the remainder of this application, the terms ‘components’, ‘blocks’, ‘hosts’ or ‘cores’ will be used interchangeably to refer to the various system components which are interconnected using a NoC. Terms ‘routers’ and ‘nodes’ will also be used interchangeably. Without loss of generalization, the system with multiple interconnected components will itself be referred to as a ‘multi-core system’.


There are several topologies in which the routers can connect to one another to create the system network. Bi-directional rings (as shown in FIG. 1A, 2-D (two dimensional) mesh (as shown in FIG. 1B), and 2-D Torus (as shown in FIG. 1C) are examples of topologies in the related art. Mesh and Torus can also be extended to 2.5-D (two and half dimensional) or 3-D (three dimensional) organizations. FIG. 1D shows a 3D mesh NoC, where there are three layers of 3×3 2D mesh NoC shown over each other. The NoC routers have up to two additional ports, one connecting to a router in the higher layer, and another connecting to a router in the lower layer. Router 111 in the middle layer of the example has its ports used, one connecting to the router 112 at the top layer and another connecting to the router 110 at the bottom layer. Routers 110 and 112 are at the bottom and top mesh layers respectively and therefore have only the upper facing port 113 and the lower facing port 114 respectively connected.


Packets are message transport units for intercommunication between various components. Routing involves identifying a path that is a set of routers and physical links of the network over which packets are sent from a source to a destination. Components are connected to one or multiple ports of one or multiple routers; with each such port having a unique identification (ID). Packets can carry the destination's router and port ID for use by the intermediate routers to route the packet to the destination component.


Examples of routing techniques include deterministic routing, which involves choosing the same path from A to B for every packet. This form of routing is independent from the state of the network and does not load balance across path diversities, which might exist in the underlying network. However, such deterministic routing may implemented in hardware, maintains packet ordering and may be rendered free of network level deadlocks. Shortest path routing may minimize the latency as such routing reduces the number of hops from the source to the destination. For this reason, the shortest path may also be the lowest power path for communication between the two components. Dimension-order routing is a form of deterministic shortest path routing in 2-D, 2.5-D, and 3-D mesh networks. In this routing scheme, messages are routed along each coordinates in a particular sequence until the message reaches the final destination. For example in a 3-D mesh network, one may first route along the X dimension until it reaches a router whose X-coordinate is equal to the X-coordinate of the destination router. Next, the message takes a turn and is routed in along Y dimension and finally takes another turn and moves along the Z dimension until the message reaches the final destination router. Dimension ordered routing may be minimal turn and shortest path routing.



FIG. 2A pictorially illustrates an example of XY routing in a two dimensional mesh. More specifically, FIG. 2A illustrates XY routing from node ‘34’ to node ‘00’. In the example of FIG. 2A, each component is connected to only one port of one router. A packet is first routed over the X-axis till the packet reaches node ‘04’ where the X-coordinate of the node is the same as the X-coordinate of the destination node. The packet is next routed over the Y-axis until the packet reaches the destination node.


In heterogeneous mesh topology in which one or more routers or one or more links are absent, dimension order routing may not be feasible between certain source and destination nodes, and alternative paths may have to be taken. The alternative paths may not be shortest or minimum turn.


Source routing and routing using tables are other routing options used in NoC. Adaptive routing can dynamically change the path taken between two points on the network based on the state of the network. This form of routing may be complex to analyze and implement.


A NoC interconnect may contain multiple physical networks. Over each physical network, there exist multiple virtual networks, wherein different message types are transmitted over different virtual networks. In this case, at each physical link or channel, there are multiple virtual channels; each virtual channel may have dedicated buffers at both end points. In any given clock cycle, only one virtual channel can transmit data on the physical channel.


NoC interconnects may employ wormhole routing, wherein, a large message or packet is broken into small pieces known as flits (also referred to as flow control digits). The first flit is a header flit, which holds information about this packet's route and key message level info along with payload data and sets up the routing behavior for all subsequent flits associated with the message. Optionally, one or more body flits follows the header flit, containing remaining payload of data. The final flit is a tail flit, which, in addition to containing last payload, also performs some bookkeeping to close the connection for the message. In wormhole flow control, virtual channels are often implemented.


The physical channels are time sliced into a number of independent logical channels called virtual channels (VCs). VCs provide multiple independent paths to route packets, however they are time-multiplexed on the physical channels. A virtual channel holds the state needed to coordinate the handling of the flits of a packet over a channel. At a minimum, this state identifies the output channel of the current node for the next hop of the route and the state of the virtual channel (idle, waiting for resources, or active). The virtual channel may also include pointers to the flits of the packet that are buffered on the current node and the number of flit buffers available on the next node.


The term “wormhole” plays on the way messages are transmitted over the channels: the output port at the next router can be so short that received data can be translated in the head flit before the full message arrives. This allows the router to quickly set up the route upon arrival of the head flit and then opt out from the rest of the conversation. Since a message is transmitted flit by flit, the message may occupy several flit buffers along its path at different routers, creating a worm-like image.


Based upon the traffic between various end points, and the routes and physical networks that are used for various messages, different physical channels of the NoC interconnect may experience different levels of load and congestion. The capacity of various physical channels of a NoC interconnect is determined by the width of the channel (number of physical wires) and the clock frequency at which it is operating. Various channels of the NoC may operate at different clock frequencies, and various channels may have different widths based on the bandwidth requirement at the channel. The bandwidth requirement at a channel is determined by the flows that traverse over the channel and their bandwidth values. Flows traversing over various NoC channels are affected by the routes taken by various flows. In a mesh or Torus NoC, there exist multiple route paths of equal length or number of hops between any pair of source and destination nodes. For example, in FIG. 2B, in addition to the standard XY route between nodes 34 and 00, there are additional routes available, such as YX route 203 or a multi-turn route 202 that makes more than one turn from source to destination.


In a NoC with statically allocated routes for various traffic slows, the load at various channels may be controlled by intelligently selecting the routes for various flows. When a large number of traffic flows and substantial path diversity is present, routes can be chosen such that the load on all NoC channels is balanced nearly uniformly, thus avoiding a single point of bottleneck. Once routed, the NoC channel widths can be determined based on the bandwidth demands of flows on the channels. Unfortunately, channel widths cannot be arbitrarily large due to physical hardware design restrictions, such as timing or wiring congestion. There may be a limit on the maximum channel width, thereby putting a limit on the maximum bandwidth of any single NoC channel.


Additionally, wider physical channels may not help in achieving higher bandwidth if messages are short. For example, if a packet is a single flit packet with a 64-bit width, then no matter how wide a channel is, the channel will only be able to carry 64 bits per cycle of data if all packets over the channel are similar. Thus, a channel width is also limited by the message size in the NoC. Due to these limitations on the maximum NoC channel width, a channel may not have enough bandwidth in spite of balancing the routes.


To address the above bandwidth concern, multiple parallel physical NoCs may be used. Each NoC may be called a layer, thus creating a multi-layer NoC architecture. Hosts inject a message on a NoC layer; the message is then routed to the destination on the NoC layer, where it is delivered from the NoC layer to the host. Thus, each layer operates more or less independently from each other, and interactions between layers may only occur during the injection and ejection times. FIG. 3A illustrates a two layer NoC. Here the two NoC layers are shown adjacent to each other on the left and right, with the hosts connected to the NoC replicated in both left and right diagrams. A host is connected to two routers in this example—a router in the first layer shown as R1, and a router is the second layer shown as R2. In this example, the multi-layer NoC is different from the 3D NoC, i.e. multiple layers are on a single silicon die and are used to meet the high bandwidth demands of the communication between hosts on the same silicon die. Messages do not go from one layer to another. For purposes of clarity, the present application will utilize such a horizontal left and right illustration for multi-layer NoC to differentiate from the 3D NoCs, which are illustrated by drawing the NoCs vertically over each other.


In FIG. 3B, a host connected to a router from each layer, R1 and R2 respectively, is illustrated. Each router is connected to other routers in its layer using directional ports 301, and is connected to the host using injection and ejection ports 302. A bridge-logic 303 may sit between the host and the two NoC layers to determine the NoC layer for an outgoing message and sends the message from host to the NoC layer, and also perform the arbitration and multiplexing between incoming messages from the two NoC layers and delivers them to the host.


In a multi-layer NoC, the number of layers needed may depend upon a number of factors such as the aggregate bandwidth requirement of all traffic flows in the system, the routes that are used by various flows, message size distribution, maximum channel width, etc. Once the number of NoC layers in NoC interconnect is determined in a design, different messages and traffic flows may be routed over different NoC layers. Additionally, one may design NoC interconnects such that different layers have different topologies in number of routers, channels and connectivity. The channels in different layers may have different widths based on the flows that traverse over the channel and their bandwidth requirements. With such a large variety of design choices, determining the right design point for a given system remains challenging and remains a time consuming manual process, and often the resulting designs remains sub-optimal and inefficient. A number of innovations to address these problems are described in U.S. patent application Ser. Nos. 13/658,663, 13/752,226, 13/647,557, 13/856,835, 13/723,732, the contents of which are hereby incorporated by reference in their entirety.


System on Chips (SoCs) are becoming increasingly sophisticated, feature rich, and high performance by integrating a growing number of standard processor cores, memory and I/O subsystems, and specialized acceleration IPs. To address this complexity, NoC approach of connecting SoC components is gaining popularity. A NoC can provide connectivity to a plethora of components and interfaces and simultaneously enable rapid design closure by being automatically generated from a high level specification. The specification describes interconnect requirements of SoC in terms of connectivity, bandwidth, and latency. In addition to this, information such as position of various components such as bridges or ports on boundary of hosts, traffic information, chip size information, etc. may be supplied. A NoC compiler (topology generation engine) can then use this specification to automatically design a NoC for the SoC. A number of NoC compilers were introduced in the related art that automatically synthesize a NoC to fit a traffic specification. In such design flows, the synthesized NoC is simulated to evaluate the performance under various operating conditions and to determine whether the specifications are met. This may be necessary because NoC-style interconnects are distributed systems and their dynamic performance characteristics under load are difficult to predict statically and can be very sensitive to a wide variety of parameters. Specifications can also be in the form of power specifications to define power domains, voltage domains, clock domains, and so on, depending on the desired implementation.


Placing hosts/IP cores in a SoC floorplan to optimize the interconnect performance can be important. For example, if two hosts communicate with each other frequently and require higher bandwidth than other interconnects, it may be better to place them closer to each other so that the transactions between these hosts can go over fewer router hops and links and the overall latency and the NoC cost can be reduced.


Assuming that two hosts with certain shapes and sizes cannot spatially overlap with each other on a 2D SoC plane, tradeoffs may need to be made. Moving certain hosts closer to improve inter-communication between them, may force certain other hosts to be further apart, thereby penalizing inter-communication between those other hosts. To make tradeoffs that improve system performance, certain performance metrics such as average global communication latency may be used as an objective function to optimize the SoC architecture with the hosts being placed in a NoC topology. Determining substantially optimal host positions that maximizes the system performance metric may involve analyzing the connectivity and inter-communication properties between all hosts and judiciously placing them onto the 2D NoC topology. In case if inter-communicating hosts are placed far from each other, this can leads to high average and peak structural latencies in number of hops. Such long paths not only increase latency but also adversely affect the interconnect bandwidth, as messages stay in the NoC for longer periods and consume bandwidth of a large number of links.


In large-scale networks, efficiency and performance/area tradeoff is of main concern. Mechanisms such as machine learning approach, simulated annealing, among others, provide optimized topology for a system. However, such complex mechanisms have substantial limitations as they involve certain algorithms to automate optimization of layout network, which may violate previously mapped flow's latency constraint or the latency constraint of current flow. Further, it is also to be considered that each user has their own requirements and/or need for SoCs and/or NoCs depending on a diverse applicability of the same. Therefore, there is a need for systems and methods that significantly improve system efficiency by accurately indicating the best possible positions and configurations for hosts and ports within the hosts, along with indicating system level routes to be taken for traffic flows using the NoC interconnect architecture. Systems and methods are also required for automatically generating an optimized topology for a given SoC floor plan and traffic specification with an efficient layout. Further, systems and methods are also required that allows users to specify their requirements for a particular SoC and/or NoC, provides various options for satisfying their requirements and based on this automatically generating an optimized topology for a given SoC floor plan and traffic specification with an efficient layout.


Furthermore, a system and method is required that facilitates efficient creation of SoC designs utilizing existing circuit block information. The system and method should assist a designer to design SoC in a convenient manner and facilitate incorporation of building block circuits previously tested in silicon. The system and method should reduce the amount of data a user has to enter manually to adequately describe features of the circuit being designed or analyzed


Therefore, there exists a need for methods, systems, and computer readable mediums for overcoming the above-mentioned issues with existing implementations of generating topology for a given NoC/SoC.


SUMMARY

Methods and example implementations described herein are generally directed to an interconnect architecture, and more specifically, to System on Chip (SoC) design and verification system and method that constructs SoC from functional building blocks circuits while concurrently taking into account numerous chip level design aspects along with the generation of a simulation environment for design verification.


Aspects of the present disclosure relate to methods, systems, and computer readable mediums for overcoming the above-mentioned issues with existing implementations of generating topology for a given SoC by significantly improving system efficiency by facilitating efficient creation of SoC designs utilizing existing or new circuit block information. The system and method assist a designer to design SoC in a convenient manner and facilitate incorporation of building block circuits previously tested in silicon. The system and method reduces the amount of data a user has to enter manually to adequately describe features of the circuit being designed or analyzed


Further, methods, systems, and computer readable mediums are provided that facilitates efficient and effective creation, modification and verification of electrical circuit designs utilizing a new or existing circuit block designs. The System on Chip (SoC) builder and verification system and method of the present invention assists a designer to design a System on Chip (SoC) in a convenient manner and facilitates incorporation of building block circuits that have been previously verified and tested in silicon. The SoC builder and verification system and method minimizes the amount of data a user has to enter manually to adequately describe features of the circuit being designed or verified. The present invention system and method automatically provides a chip level description, a test bench, clock descriptions, test logic descriptions, simulation models, and simulation environments.


An aspect of the present disclosure relates to a method for generating a System on Chip (SoC) from a floor plan having one or more integration descriptions. The method includes the steps of generating one or more connections between the integration descriptions of the floor plan based at least on a traffic specification, and conducting a design check process on the floor plan. If the design check process on the floor plan is indicative of passing the design check process, then the method generates the SoC according to the one or more connections generated between the integration descriptions. If the design check process on the floor plan is indicative of not passing the design check process, then the method generates a report indicative of not passing.


In an aspect, the integration descriptions include information derived from the hardware IP to construct at least a NoC for integration into at least one SoC. In another aspect, the at least one integration description is selected from said one or more integration descriptions of the hardware IP based at least on an input received from one or more users. In an aspect, the input is in a form of a file selected from any or combination of an XML file, an IP XACT file, a verilog file, a meta-data file or a file in a prescribed or pre-defined format.


In an aspect, the report includes any or combination of at least an error, a warning, and information associated with the design check process for not passing


In an aspect, the method is implemented in a computing device or a cloud server.


In an aspect, the method can generate connections associated with any or combination of a NoC, an crossbar, and direct connections.


In an aspect, the method can generate one or more connection bundles. In another aspect, the one or more connection bundles are generated by determining information associated with one or more ports associated with the one or more connections, performing protocol based template discovery to obtain one or more sets of pins associated with a particular protocol, and performing manual override on said sets of pins based at least on a bandwidth constraint, or a native connection, or a NoC based connection, or any combination thereof.


In an aspect, the method can generate one or more groups associated with one or more connection bundles.


In an aspect, the method can connect said one or more connection bundles based on pre-determined criteria. In another aspect, at least one connection selected from said one or more connection bundles include a label.


In an aspect, the method can generate one or more domain regions for the floor plan. In another aspect, the method can generate the SoC using one or more domain regions generated for the floor plan.


In an aspect, the method can generate any or combination of SoC connections, NoC IP, RTL hierarchy, XML file/IP XACT file, one or more collaterals such as lists, design rules check report, register descriptions, system address mapping, verification checkers, drivers for simulations, and performance reports.


In an aspect, the design check process can include a pre-defined set of checklist or rules associated with any or combination of a sanity of ports, clock compatibility, power compatibility, voltage compatibility, timing checks, internal port connections checks, a traffic check, a bandwidth check, and a protocol check.


In an aspect, the integration description comprises any or combination of performance goals/characteristics, pin information, port information, addressing/address information, clocking/clock information, protocol characteristics, buffer requirements, data width information, physical size information, tracing and debugging properties, domain crossing requirements, power information, and voltage information.


In an aspect, the method can display dependencies of the at least one integration description selected on the other integration descriptions selected from said one or more integration descriptions.


An aspect of the present disclosure relates to a system to generate a System on Chip (SoC) from a floor plan having one or more integration descriptions. The system includes a generation module to generate one or more connections between the integration descriptions of the floor plan based at least on a traffic specification, and to conduct a design check process on the floor plan. If the design check process on the floor plan is indicative of passing the design check process, then the method generates the SoC according to the one or more connections generated between the integration descriptions. If the design check process on the floor plan is indicative of not passing the design check process, then the method generates a report indicative of not passing.


In an aspect, the integration descriptions include information derived from the hardware IP to construct at least a NoC for integration into at least one SoC. In another aspect, the at least one integration description is selected from said one or more integration descriptions of the hardware IP based at least on an input received from one or more users. In an aspect, the input is in a form of a file selected from any or combination of an XML file, a verilog file, a meta-data file or a file in a prescribed or pre-defined format.


In an aspect, the report includes any or combination of at least an error, a warning, and information associated with the design check process for not passing


In an aspect, the system is a computing device or a cloud server.


In an aspect, the system can generate connections associated with any or combination of a NoC, an crossbar, and direct connections.


In an aspect, the system can generate one or more connection bundles. In another aspect, the one or more connection bundles are generated by determining information associated with one or more ports associated with the one or more connections, performing protocol based template discovery to obtain one or more sets of pins associated with a particular protocol, and performing manual override on said sets of pins based at least on a bandwidth constraint, or a native connection, or a NoC based connection, or any combination thereof.


In an aspect, the system can generate one or more groups associated with one or more connection bundles.


In an aspect, the system can connect said one or more connection bundles based on pre-determined criteria. In another aspect, at least one connection selected from said one or more connection bundles include a label.


In an aspect, the system can generate one or more domain regions for the floor plan. In another aspect, the method can generate the SoC using one or more domain regions generated for the floor plan.


In an aspect, the system can generate any or combination of SoC connections, NoC IP, RTL hierarchy, XML file/IP XACT file, one or more collaterals such as lists, design rules check report, register descriptions, system address mapping, verification checkers, drivers for simulations, and performance reports.


In an aspect, the design check process can include a pre-defined set of checklist or rules associated with any or combination of a sanity of ports, clock compatibility, power compatibility, voltage compatibility, timing checks, internal port connections checks, a traffic check, a bandwidth check, and a protocol check.


In an aspect, the integration description comprises any or combination of performance goals/characteristics, pin information, port information, addressing/address information, clocking/clock information, protocol characteristics, buffer requirements, data width information, physical size information, tracing and debugging properties, domain crossing requirements, power information, and voltage information.


In an aspect, the system can display dependencies of the at least one integration description selected on the other integration descriptions selected from said one or more integration descriptions.


An aspect of the present disclosure relates to a non-transitory computer readable storage medium storing instructions for executing a process. The instructions include the steps of generating one or more connections between the integration descriptions of the floor plan based at least on a traffic specification, and conducting a design check process on the floor plan. If the design check process on the floor plan is indicative of passing the design check process, then the method generates the SoC according to the one or more connections generated between the integration descriptions. If the design check process on the floor plan is indicative of not passing the design check process, then the method generates a report indicative of not passing.


The foregoing and other objects, features and advantages of the example implementations will be apparent and the following more particular descriptions of example implementations as illustrated in the accompanying drawings wherein like reference numbers generally represent like parts of example implementations of the application.





BRIEF DESCRIPTION OF DRAWINGS


FIGS. 1A, 1B, 1C, and 1D illustrate examples of Bidirectional ring, 2D Mesh, 2D Torus, and 3D Mesh NoC Topologies.



FIG. 2A illustrates an example of XY routing in a related art two dimensional mesh.



FIG. 2B illustrates three different routes between a source and destination nodes.



FIG. 3A illustrates an example of a related art two layer NoC interconnect.



FIG. 3B illustrates the related art bridge logic between host and multiple NoC layers.



FIG. 4A-B illustrates a SoC connections and factors that determine a need of a NoC.



FIG. 5A illustrates a flow diagram for generating a System on Chip (SoC) from a floor plan having one or more integration descriptions.



FIGS. 5B-5D illustrates an input, design rule checks (DRCs), and an output generated, respectively, while generating a System on Chip (SoC) from a floor plan.



FIG. 6A illustrates a working of connection bundles.



FIG. 6B illustrates connection bundles formation.



FIG. 7A illustrates various types of SoC connections,



FIG. 7B illustrates example placement of various SoC connections.



FIG. 7C illustrates various example usage of domain regions generated for a particular floor plan for generation of SoC.



FIG. 8 illustrates an example flow diagram for generating a System on Chip (SoC) from a floor plan having one or more integration descriptions.



FIG. 9 illustrates an example computer system on which example embodiments may be implemented.





DETAILED DESCRIPTION

The following detailed description provides further details of the figures and example implementations of the present application. Reference numerals and descriptions of redundant elements between figures are omitted for clarity. Terms used throughout the description are provided as examples and are not intended to be limiting. For example, the use of the term “automatic” may involve fully automatic or semi-automatic implementations involving user or administrator control over certain aspects of the implementation, depending on the desired implementation of one of ordinary skill in the art practicing implementations of the present application.


Network-on-Chip (NoC) has emerged as a paradigm to interconnect a large number of components on the chip. NoC is a global shared communication infrastructure made up of several routing nodes interconnected with each other using point-to-point physical links. In example implementations, a NoC interconnect is generated from a specification by utilizing design tools. The specification can include constraints such as bandwidth/Quality of Service (QoS)/latency attributes that is to be met by the NoC, and can be in various software formats depending on the design tools utilized. Once the NoC is generated through the use of design tools on the specification to meet the specification requirements, the physical architecture can be implemented either by manufacturing a chip layout to facilitate the NoC or by generation of a register transfer level (RTL) for execution on a chip to emulate the generated NoC, depending on the desired implementation. Specifications may be in common power format (CPF), Unified Power Format (UPF), or others according to the desired specification. Specifications can be in the form of traffic specifications indicating the traffic, bandwidth requirements, latency requirements, interconnections, etc. depending on the desired implementation. Specifications can also be in the form of power specifications to define power domains, voltage domains, clock domains, and so on, depending on the desired implementation.


Methods and example implementations described herein are generally directed to an interconnect architecture, and more specifically, to System on Chip (SoC) design and verification system and method that constructs SoC from functional building blocks circuits while concurrently taking into account numerous chip level design aspects along with the generation of a simulation environment for design verification.


Aspects of the present disclosure relate to methods, systems, and computer readable mediums for overcoming the above-mentioned issues with existing implementations of generating topology for a given SoC by significantly improving system efficiency by facilitating efficient creation of SoC designs utilizing existing or new circuit block information. The system and method assist a designer to design SoC in a convenient manner and facilitate incorporation of building block circuits previously tested in silicon. The system and method reduces the amount of data a user has to enter manually to adequately describe features of the circuit being designed or analyzed


Further, methods, systems, and computer readable mediums are provided that facilitates efficient and effective creation, modification and verification of electrical circuit designs utilizing a new or existing circuit block designs. The System on Chip (SoC) builder and verification system and method of the present invention assists a designer to design a System on Chip (SoC) in a convenient manner and facilitates incorporation of building block circuits that have been previously verified and tested in silicon. The SoC builder and verification system and method minimizes the amount of data a user has to enter manually to adequately describe features of the circuit being designed or verified. The present invention system and method automatically provides a chip level description, a test bench, clock descriptions, test logic descriptions, simulation models, and simulation environments.


An aspect of the present disclosure relates to a method for generating a System on Chip (SoC) from a floor plan having one or more integration descriptions. The method includes the steps of generating one or more connections between the integration descriptions of the floor plan based at least on a traffic specification, and conducting a design check process on the floor plan. If the design check process on the floor plan is indicative of passing the design check process, then the method generates the SoC according to the one or more connections generated between the integration descriptions. If the design check process on the floor plan is indicative of not passing the design check process, then the method generates a report indicative of not passing.


In an aspect, the integration descriptions include information derived from the hardware IP to construct at least a NoC for integration into at least one SoC. In another aspect, the at least one integration description is selected from said one or more integration descriptions of the hardware IP based at least on an input received from one or more users. In an aspect, the input is in a form of a file selected from any or combination of an XML file, a verilog file, a meta-data file or a file in a prescribed format.


In an aspect, the report includes any or combination of at least an error, a warning, and information associated with the design check process for not passing


In an aspect, the method is implemented in a computing device or a cloud server.


In an aspect, the method can generate connections associated with any or combination of a NoC, an crossbar, and direct connections.


In an aspect, the method can generate one or more connection bundles. In another aspect, the one or more connection bundles are generated by determining information associated with one or more ports associated with the one or more connections, performing protocol based template discovery to obtain one or more sets of pins associated with a particular protocol, and performing manual override on said sets of pins based at least on a bandwidth constraint, or a native connection, or a NoC based connection, or any combination thereof.


In an aspect, the method can generate one or more groups associated with one or more connection bundles.


In an aspect, the method can connect said one or more connection bundles based on pre-determined criteria. In another aspect, at least one connection selected from said one or more connection bundles include a label.


In an aspect, the method can generate one or more domain regions for the floor plan. In another aspect, the method can generate the SoC using one or more domain regions generated for the floor plan.


In an aspect, the method can generate any or combination of SoC connections, NoC IP, RTL hierarchy, XML file/IP XACT file, one or more collaterals such as lists, design rules check report, register descriptions, system address mapping, verification checkers, drivers for simulations, and performance reports.


In an aspect, the design check process can include a pre-defined set of checklist or rules associated with any or combination of a sanity of ports, clock compatibility, power compatibility, voltage compatibility, timing checks, internal port connections checks, a traffic check, a bandwidth check, and a protocol check.


In an aspect, the integration description comprises any or combination of performance goals/characteristics, pin information, port information, addressing/address information, clocking/clock information, protocol characteristics, buffer requirements, data width information, physical size information, tracing and debugging properties, domain crossing requirements, power information, and voltage information.


In an aspect, the method can display dependencies of the at least one integration description selected on the other integration descriptions selected from said one or more integration descriptions.


An aspect of the present disclosure relates to a system to generate a System on Chip (SoC) from a floor plan having one or more integration descriptions. The system includes a generation module to generate one or more connections between the integration descriptions of the floor plan based at least on a traffic specification, and to conduct a design check process on the floor plan. If the design check process on the floor plan is indicative of passing the design check process, then the method generates the SoC according to the one or more connections generated between the integration descriptions. If the design check process on the floor plan is indicative of not passing the design check process, then the method generates a report indicative of not passing.


In an aspect, the integration descriptions include information derived from the hardware IP to construct at least a NoC for integration into at least one SoC. In another aspect, the at least one integration description is selected from said one or more integration descriptions of the hardware IP based at least on an input received from one or more users. In an aspect, the input is in a form of a file selected from any or combination of an XML file, a verilog file, a meta-data file or a file in a prescribed format.


In an aspect, the report includes any or combination of at least an error, a warning, and information associated with the design check process for not passing


In an aspect, the system is a computing device or a cloud server.


In an aspect, the system can generate connections associated with any or combination of a NoC, an crossbar, and direct connections.


In an aspect, the system can generate one or more connection bundles. In another aspect, the one or more connection bundles are generated by determining information associated with one or more ports associated with the one or more connections, performing protocol based template discovery to obtain one or more sets of pins associated with a particular protocol, and performing manual override on said sets of pins based at least on a bandwidth constraint, or a native connection, or a NoC based connection, or any combination thereof.


In an aspect, the system can generate one or more groups associated with one or more connection bundles.


In an aspect, the system can connect said one or more connection bundles based on pre-determined criteria. In another aspect, at least one connection selected from said one or more connection bundles include a label.


In an aspect, the system can generate one or more domain regions for the floor plan. In another aspect, the method can generate the SoC using one or more domain regions generated for the floor plan.


In an aspect, the system can generate any or combination of SoC connections, NoC IP, RTL hierarchy, XML file/IP XACT file, one or more collaterals such as lists, design rules check report, register descriptions, system address mapping, verification checkers, drivers for simulations, and performance reports.


In an aspect, the design check process can include a pre-defined set of checklist or rules associated with any or combination of a sanity of ports, clock compatibility, power compatibility, voltage compatibility, timing checks, internal port connections checks, a traffic check, a bandwidth check, and a protocol check.


In an aspect, the integration description comprises any or combination of performance goals/characteristics, pin information, port information, addressing/address information, clocking/clock information, protocol characteristics, buffer requirements, data width information, physical size information, tracing and debugging properties, domain crossing requirements, power information, and voltage information.


In an aspect, the system can display dependencies of the at least one integration description selected on the other integration descriptions selected from said one or more integration descriptions.


An aspect of the present disclosure relates to a non-transitory computer readable storage medium storing instructions for executing a process. The instructions include the steps of generating one or more connections between the integration descriptions of the floor plan based at least on a traffic specification, and conducting a design check process on the floor plan. If the design check process on the floor plan is indicative of passing the design check process, then the method generates the SoC according to the one or more connections generated between the integration descriptions. If the design check process on the floor plan is indicative of not passing the design check process, then the method generates a report indicative of not passing.


In one embodiment of the present invention, a SoC builder and verification system and method is implemented in a computer system. The SoC builder and verification system and method include a graphical user interface (GUI). The GUI module provides user friendly and convenient interfaces that facilitate easy entry and modification of user selections and parameters. In one embodiment the present invention also accommodates text file entry of parameters. The system can analyze information supplied by the GUI module to interpret the user selections and parameterization (e.g., creates directions (command lines) passed to other modules for execution). The system utilizes information derived from past experience designing, manufacturing and verifying circuit blocks to automatically provide circuit block descriptions and parameters from a storage source (e.g., database, distributed resource, memory, etc.). The system automatically generates a chip level list, including the instantiation of internal IC devices and connections between the circuit blocks for internal signals. In one embodiment of the present invention, the system also includes a verification module that automatically verifies the behavior of the modeled SoC.


The present invention permits a designer to efficiently and effectively create and modify system on a chip (SoC) designs. The system and method of the present invention facilitates SoC design and verification by providing significant automation of a number of operations including circuit block integration, parameter assignment, addition of architecture features, verification testing and production test support. The present system and method enables a user to convey information conveniently in a manner that minimizes the amount of data a user has to enter manually while adequately describing features of the circuit being designed or analyzed. The present invention also includes automated expert system features that facilitate SoC design and testing. The expert system features facilitates the automated provision of design features based upon prior experience associated with actual SoC hardware manufacturing. It allows a user to easily create and modify design features with reduced manual data entry associated with creating files and performing verification functions. The present invention facilitates the automated creation of description files and logical verification environments including chip models and system level models for testing operations.



FIG. 4A-B illustrates a SoC connections and factors that determine a need of a NoC.


Referring now to FIG. 4A, an example system 400 having a plurality of SoC components is provided. As shown, component 1402A, component 2402B and component 3402C are connected to each other via various connection means. Also, component 1, component 2 and components 3 include various ports and connections lines allowing their association with the other neighboring components.


Component 1402A is connected to component 2402B via NoC 1404A whereas component 2402B is connected to component 3402C via NoC 2404B. In this example, component 1402A is connected to component 3402C without utilizing any NoC.


It may be appreciated that each of these components can be further connected to various other components with or without utilization of a NoC. For example, Component 3 is connected to other Component like “a”, “b”, and “c”, whereas the NoC 1404A is connected to other components like “X”, “Y”, and “Z”.


It may be also noted that, if there are various components in a system, some of these things need to communicate with NoC while some of them do not have to go though the NoC but are connected via wires.


The SoC designer needs to figure out which part needs to connect through the NoC and which needs to go directly/connect through wires, which can be a cumbersome task since these scenarios are battery dependent. For example, if one component interacts with multiple agents and then to optimize the paths is a challenge as compared to one to one connection between the components. Thus, there is a need of a system, method, device, tool, platform, and computer program product that understands the requirements of the SoC designer, optimizes them and builds an optimized SoC for the designer. For example, the computer program should be configured to assist the designer about where to have NoC and where not to have connections.


According to the related art approach, the designers connect wires manually and then check their feasibility. In contrast to this, the example implementations described herein provide an automated mechanism with a standardized interface for making these connections in real-time, which also includes error checking in real time and has a comprehensive design rule checks to confirm the design may violate any fundamentals principles of design or any of your own designs.


Thus, the present application provides a system and method that enable a user to decide how connections are made and where NoC can be utilized or direct connections are required while building an optimized SoC.



FIG. 4B illustrates an example list of factors 450 that determine the need for the NoC during SoC construction. In an example implementation, the factors can include various parameters such as, but are not limited to, the protocol to be implemented/used in a NoC, traffic connectivity between two agents/routers/components, bandwidth and/or area constraints, timing constraints, clocking synchronization/constraint, power constraint, fabrication constraint, pin and ports description/constraint and the like.


Since there is no standard technique that allows different designers to use standards for connecting or using NoC at particular point or connections at particular point, every designer has their own technique of doing that and then a single person combines all these different techniques to from a single NoC. Thus the present invention by way of system and method allows providing a standardized specification to enable optimized connections.



FIG. 5A illustrates a flow diagram for generating a System on Chip (SoC) from a floor plan having one or more integration descriptions.


In an example implementation, the method 500 for generating a System on Chip (SoC) from a floor plan having one or more integration descriptions is provided. The method can include the steps of receiving inputs 502 and various constraints 504 from the user, generating one or more connections between the integration descriptions of the floor plan based at least on a traffic specification, and conducting a design check process 506 on the floor plan. If the design check process on the floor plan is indicative of passing the design check process, then the method generates 508 the SoC according to the one or more connections generated between the integration descriptions. If the design check process on the floor plan is indicative of not passing the design check process, then the method generates a report indicative of not passing.


In an example implementation, the input 502 can be in the form of files such as but not limited to an XML file, a verilog file, an ISON file, a NCF file or a vendor meta-data as shown in FIG. 5B520. The input files may include details associated with the requirements of the user for constructing a NoC and/or SoC. For example, the user can specify the number of ports and the capability of those ports to receive a specific protocol. In another example implementation, the input 502 can received and/or selected from form a database/repository pre-configured/pre-stored in the SoC platform.


In an example, a database contains a list of IPs such as CPU, memory controllers, cache, etc. and can be stored through the parallel files, devices, or any other generalized or customized format. The user can have a certain visibility of the stored IP which contains the information needed to build a NoC.


In an example implementation, the inputs to the system can be provided by way of XML file with extensions, verilog files, and so on, having details associated with the connection information from the one point to other. In example implementations, submitting the inputs and requirements itself can result in an indication or alert in real time about the mistakes in the design. For example, if a component receives multiple inputs despite having a single input port thereby rendering it logically incorrect, example implementations can, in real time, indicate the mistakes in the design which can be corrected by the user.


In an example implementation, if the design check process on the floor plan is indicative of not passing the design check process, then the method can provide the issues/errors/warnings/information about the not passing the design check process to the constraints and the inputs based on which the customization in real-time and/or offline can be made either by the user or the system itself to ensure obtaining of the optimized SoC construction.


Referring now to FIG. 5C illustrates an example set of customizable, configurable and re-configurable design rule checks (DRCs) 540 that are pre-fed to the system and checked while generating a System on Chip (SoC) from a floor plan having one or more integration descriptions.


In an example implementation, the DRCs are included in the system to generate at least an error, warning and/or information while generating a System on Chip (SoC) to confirm if the design may violate any fundamentals principles of design or any of proprietors own designs. In an example, the DRCs can include monitoring of various factors that includes, but are not limited to, sanity on ports (label width direction), clock compatibility, power compatibility, voltage compatibility, timing checks, pipelines, clock gravity, top level/internal port connections, and the like.


Referring now to FIG. 5D illustrates example outputs 560 that can be generated while generating a SoC from a floor plan. In an example implementation, while generating a SoC, the example implementations can also generate SoC connections, NoC IP, widths or RTL hierarchy, XML files, IP CACT files, collaterals/lists (such as but not limited to Synth (SDC), placements (DEF), or documentation report), design rules check report, register description (XML, CSV), system address mapping, verification checkers, BFMs and drivers simulations, as well as performance reports that may include, but is not limited to, area, bandwidth, latency, wiring and so on.


It may be appreciated that, the outputs generated according to the example implementations can be customized according to the requirements of the user.



FIG. 6A illustrates a working of connection bundles. As shown in FIG. 6A600, Component 1602A is in interaction with Component 2602B using a plurality of links for example wires.


In an example implementation, a plurality of links of Component 1602A which are adapted to connect with the a plurality of links of Component 2602B can be bundled/grouped logically into one or more groups indicating that one group of Component 1602A connects with one group of Component 2602B. For example, as shown, Bundle A 604-1 of the Component 2602B includes a plurality of wires that is confirmed to be connected to Bundle K 604-2 of the Component 2602B.


Each component can include the ports and bundles. So first all the ports are grouped into a bundle and then the bundles are connected to each other. The bundles are sliceable. Further, since the bundle is represented logically, the bundle does not show up in a generated SoC design, but is only a specification to avoid the errors in connections. In the beginning every port is a bundle by itself.


In an example implementation, the signals can be labeled as well, and accordingly it is confirmed that the labels are matched which makes it very easy for the designers to match them. For example, the RST in Component 1602A represents a clock signal port where as the CLK in Component 2602B represents a clock signal port. Thus, even though the characteristics of a particular port may differ from one component to other, the functionality is identified during SoC generation and accordingly can facilitate connections between ports with similar characteristics. Labeling facilitates automation and a faster connection establishment which can also be visualized on the interface.


Referring now to FIG. 6B, a process 650 for connection bundles formation is illustrated. In an example implementation, the example implementations for forming connection bundles receives information associated with all the ports 652 in SoC design. Such ports may be associated with a single component of multiple components. At step 654, the system performs a protocol based template discovery to obtain one or more sets of pins associated with a particular protocol. At step 656, said sets of pins are identified. At step 658, a manual override is performed on said sets of pins based at least on a bandwidth constraint, or a native connection, or a NoC based connection, or any combination thereof. At step 660, a specification associated with the manual connecting bandwidths is applied. At step 662, specification associated with native connections of NoC based contents are applied. At step 664, a plurality of connection bundles are formed. At step 666, a right type of connections 1, 2, 3, etc. are selected for the plurality of connection bundles as defined in FIG. 7A.



FIG. 7A illustrates various types of SoC connections 700. As illustrated, there can be various types of connections formed while building a SoC. In an example, one type of connection can be a point-to point connection, High Fan-In/Fan-Out (HFNET) connection, top level connections. In another example, the connection can be of NoC level connections. For example, NoC level connections can be user enforced or inferred based on pins. In an example, another type of connection can be for connections associated with crossbar.


In an example, the connections which are established by inference based on pins can be of address type, valid type, data type, ready type, AND types, and so on which can be considered as flow control signals. In another example, the connections which are established by inference based on pins can be of connecting bridges, routers, switches, protocols of NoC like AX14, AX13, AX1 B, OCH, Trace extension etc.



FIG. 7B
730 illustrates example placement of various SoC connections upon establishing various connections as illustrated in FIG. 7A. As shown, a Component 1732A can be interacting with Component 732B using various connections. In an example, connections established between the Component 1732A and the Component 732B can be a point-to point connection 736 via wires and it can also be through HFNET 734. The Component 1732A can have a connection as top level connection.


In an example, the Component 732B can be further connected to one or more other components “X”, “Y”, and “Z” via. NoC 740. The NoC can 740 can further be connected to a network “###” which can be further connected to other components “A”, “B”, and “C”



FIG. 7C illustrates various example usage of domain regions 760 generated for a particular floor plan for generation of SoC. As shown, the SoC can be constructed based on various constrains/domains/regions. For example, the SoC can be constructed using clock domain/region, power or voltage domain, and RTL group hierarchy. In an example implementation, based on the various constrains/domains/regions the SoC can decide how much bandwidth/frequency needs to be allocated for various areas associated with the SoC design.



FIG. 8 illustrates an example flow diagram for generating a System on Chip (SoC) from a floor plan having one or more integration descriptions. A method 800 for generating a System on Chip (SoC) from a floor plan having one or more integration descriptions.


At step 802, one or more connections are generated between the integration descriptions of the floor plan based at least on a traffic specification. At step 804, a design check process on the floor plan is conducted. At step 806, if the design check process on the floor plan is indicative of passing the design check process (Yes), then at step 808, the method generates the SoC according to the one or more connections generated between the integration descriptions. At step 806, if the design check process on the floor plan is indicative of not passing the design check process (No), then at step 810 the method generates a report indicative of not passing.


In an aspect, the integration descriptions include information derived from the hardware IP to construct at least a NoC for integration into at least one SoC. In another aspect, the at least one integration description is selected from said one or more integration descriptions of the hardware IP based at least on an input received from one or more users. In an aspect, the input is in a form of a file selected from any or combination of an XML file, a verilog file, a meta-data file or a file in a prescribed format.


In an aspect, the report includes any or combination of at least an error, a warning, and information associated with the design check process for not passing


In an aspect, the method is implemented in a computing device or a cloud server.


In an aspect, the method can generate connections associated with any or combination of a NoC, an crossbar, and direct connections.


In an aspect, the method can generate one or more connection bundles. In another aspect, the one or more connection bundles are generated by determining information associated with one or more ports associated with the one or more connections, performing protocol based template discovery to obtain one or more sets of pins associated with a particular protocol, and performing manual override on said sets of pins based at least on a bandwidth constraint, or a native connection, or a NoC based connection, or any combination thereof.


In an aspect, the method can generate one or more groups associated with one or more connection bundles.


In an aspect, the method can connect said one or more connection bundles based on pre-determined criteria. In another aspect, at least one connection selected from said one or more connection bundles include a label.


In an aspect, the method can generate one or more domain regions for the floor plan. In another aspect, the method can generate the SoC using one or more domain regions generated for the floor plan.


In an aspect, the method can generate any or combination of SoC connections, NoC IP, RTL hierarchy, XML file/IP XACT file, one or more collaterals such as lists, design rules check report, register descriptions, system address mapping, verification checkers, drivers for simulations, and performance reports.


In an aspect, the design check process can include a pre-defined set of checklist or rules associated with any or combination of a sanity of ports, clock compatibility, power compatibility, voltage compatibility, timing checks, internal port connections checks, a traffic check, a bandwidth check, and a protocol check.


In an aspect, the integration description comprises any or combination of performance goals/characteristics, pin information, port information, addressing/address information, clocking/clock information, protocol characteristics, buffer requirements, data width information, physical size information, tracing and debugging properties, domain crossing requirements, power information, and voltage information.


In an aspect, the method can display dependencies of the at least one integration description selected on the other integration descriptions selected from said one or more integration descriptions.



FIG. 9 illustrates an example computer system on which example embodiments may be implemented. This example system is merely illustrative, and other modules or functional partitioning may therefore be substituted as would be understood by those skilled in the art. Further, this system may be modified by adding, deleting, or modifying modules and operations without departing from the scope of the inventive concept.


In an aspect, computer system 900 includes a server 902 that may involve an I/O unit 912, storage 910, and a processor 904 operable to execute one or more units as known to one skilled in the art. The term “computer-readable medium” as used herein refers to any medium that participates in providing instructions to processor 904 for execution, which may come in the form of computer-readable storage mediums, such as, but not limited to optical disks, magnetic disks, read-only memories, random access memories, solid state devices and drives, or any other types of tangible media suitable for storing electronic information, or computer-readable signal mediums, which can include transitory media such as carrier waves. The I/O unit processes input from user interfaces 912 and operator interfaces 918 which may utilize input devices such as a keyboard, mouse, touch device, or verbal command


The server 902 may also be connected to an external storage 916, which can contain removable storage such as a portable hard drive, optical media (CD or DVD), disk media or any other medium from which a computer can read executable code. The server may also be connected an output device 918, such as a display to output data and other information to a user, as well as request additional information from a user. The connections from the server 902 to the user interface 912, the operator interface 914, the external storage 916, and the output device 918 may via wireless protocols, such as the 802.11 standards, Bluetooth® or cellular protocols, or via physical transmission media, such as cables or fiber optics. The output device 918 may therefore further act as an input device for interacting with a user.


The processor 904 may execute one or more modules including includes a generation module 906 to generate one or more connections between the integration descriptions of the floor plan based at least on a traffic specification, and to conduct a design check process on the floor plan. If the design check process on the floor plan is indicative of passing the design check process, then the method generates the SoC according to the one or more connections generated between the integration descriptions. If the design check process on the floor plan is indicative of not passing the design check process, then the method generates a report indicative of not passing.


Unless specifically stated otherwise, as apparent from the discussion, it is appreciated that throughout the description, discussions utilizing terms such as “processing,” “computing,” “calculating,” “determining,” “displaying,” or the like, can include the actions and processes of a computer system or other information processing device that manipulates and transforms data represented as physical (electronic) quantities within the computer system's registers and memories into other data similarly represented as physical quantities within the computer system's memories or registers or other information storage, transmission or display devices.


Example implementations may also relate to an apparatus for performing the operations herein. This apparatus may be specially constructed for the required purposes, or it may include one or more general-purpose computers selectively activated or reconfigured by one or more computer programs. Such computer programs may be stored in a computer readable medium, such as a computer-readable storage medium or a computer-readable signal medium. A computer-readable storage medium may involve tangible mediums such as, but not limited to optical disks, magnetic disks, read-only memories, random access memories, solid state devices and drives, or any other types of tangible or non-transitory media suitable for storing electronic information. A computer readable signal medium may include mediums such as carrier waves. The algorithms and displays presented herein are not inherently related to any particular computer or other apparatus. Computer programs can involve pure software implementations that involve instructions that perform the operations of the desired implementation.


Various general-purpose systems may be used with programs and modules in accordance with the examples herein, or it may prove convenient to construct a more specialized apparatus to perform desired method steps. In addition, the example implementations are not described with reference to any particular programming language. It will be appreciated that a variety of programming languages may be used to implement the teachings of the example implementations as described herein. The instructions of the programming language(s) may be executed by one or more processing devices, e.g., central processing units (CPUs), processors, or controllers.


As is known in the art, the operations described above can be performed by hardware, software, or some combination of software and hardware. Various aspects of the example implementations may be implemented using circuits and logic devices (hardware), while other aspects may be implemented using instructions stored on a machine-readable medium (software), which if executed by a processor, would cause the processor to perform a method to carry out implementations of the present disclosure. Further, some example implementations of the present disclosure may be performed solely in hardware, whereas other example implementations may be performed solely in software. Moreover, the various functions described can be performed in a single unit, or can be spread across a number of components in any number of ways. When performed by software, the methods may be executed by a processor, such as a general purpose computer, based on instructions stored on a computer-readable medium. If desired, the instructions can be stored on the medium in a compressed and/or encrypted format.


Moreover, other implementations of the present application will be apparent to those skilled in the art from consideration of the specification and practice of the example implementations disclosed herein. Various aspects and/or components of the described example implementations may be used singly or in any combination. It is intended that the specification and examples be considered as examples, with a true scope and spirit of the application being indicated by the following claims.

Claims
  • 1. A method for generating a System on Chip (SoC) from a floor plan having one or more integration descriptions, said method comprising: generating one or more connections between the integration descriptions of the floor plan based at least on a traffic specification;conducting a design check process on the floor plan, wherein: if the design check process on the floor plan is indicative of passing the design check process, generating the SoC according to the one or more connections generated between the integration descriptions, andif the design check process on the floor plan is indicative of not passing the design check process, generating a report indicative of not passing; andgenerating one or more connection bundles based at least in part on determining information associated with one or more ports associated with the one or more connections;wherein generation of the one or more connection bundles is based at least in part on the determination of the information associated with the one or more ports associated with the one or more connections and performance of protocol based template discovery to obtain one or more sets of pins associated with a particular protocol, as well as performance of a manual override on said sets of pins based at least in part on a bandwidth constraint, or a native connection, or a Network on Chip (NoC) based connection, or any combination thereof.
  • 2. The method of claim 1, the integration descriptions comprising: information derived from a hardware Intellectual Property (IP) to construct at least a Network on Chip (NoC) for integration into at least one SoC.
  • 3. The method of claim 1, wherein said at least one integration description is selected from said integration descriptions of the hardware Intellectual Property (IP) based at least on an input received from one or more users.
  • 4. The method of claim 3, wherein said input is in a form of a file selected from at least one of an extended markup language (XML) file, an IP XACT file, a verilog file, a meta-data file or a file in a prescribed format.
  • 5. The method of claim 1, wherein said report comprises at least one of an error, a warning, and information associated with the design check process for not passing.
  • 6. The method of claim 1, wherein said method is implemented in a computing device or a cloud server.
  • 7. The method of claim 1, further comprising: generating connections associated with at least one of an NoC, a crossbar, and direct connections.
  • 8. The method of claim 1, further comprising generating one or more groups associated with the one or more connection bundles.
  • 9. The method of claim 1, further comprising connecting said one or more connection bundles based on pre-determined criteria.
  • 10. The method of claim 1, wherein at least one connection bundle selected from said one or more connection bundles comprises a label.
  • 11. The method of claim 1, further comprising: generating one or more domain regions for the floor plan.
  • 12. The method of claim 1, further comprising: generating the SoC using one or more domain regions generated for the floor plan.
  • 13. The method of claim 1, further comprising: generating any or combination of SoC connections, NoC IP, RTL hierarchy, XML file/1P XACT file, one or more collaterals such as design rules check report, register descriptions, system address mapping, verification checkers, drivers for simulations, and performance reports.
  • 14. The method of claim 1, wherein the design check process comprising a pre-defined set of checklist or rules associated with any or combination of sanity of ports, clock compatibility, power compatibility, voltage compatibility, timing checks, internal port connections checks, traffic check, bandwidth check, and protocol check.
  • 15. The method of claim 1, wherein the integration description comprises any or combination of performance goals/characteristics, pin information, port information, addressing/address information, clocking/clock information, protocol characteristics, buffer requirements, data width information, physical size information, tracing and debugging properties, domain crossing requirements, power information, and voltage information.
  • 16. The method of claim 1, further comprising: displaying dependencies of the at least one integration description selected on the other integration descriptions selected from said one or more integration descriptions.
  • 17. One or more non-transitory computer-readable medium comprising one or more instructions that when executed on at least one processor configure the at least one processor to perform one or more operations to: generate one or more connections between integration descriptions of a floor plan of a System on Chip (SoC) based at least on a traffic specification;conduct a design check process on the floor plan, wherein: if the design check process on the floor plan is indicative of passing the design check process, generate the SoC according to the one or more connections generated between the integration descriptions, andif the design check process on the floor plan is indicative of not passing the design check process, generate a report indicative of not passing; andgenerate one or more connection bundles based at least in part on determining information associated with one or more ports associated with the one or more connections,wherein generation of the one or more connection bundles is based at least in part on the determination of the information associated with the one or more ports associated with the one or more connections and performance of protocol based template discovery to obtain one or more sets of pins associated with a particular protocol, as well as performance of a manual override on said sets of pins based at least in part on a bandwidth constraint, or a native connection, or a Network on Chip (NoC) based connection, or any combination thereof.
  • 18. The one or more non-transitory computer-readable medium of claim 17, wherein the integration descriptions includes: information derived from a hardware Intellectual Property (IP) to construct at least a Network on Chip (NoC) for integration into at least one SoC.
  • 19. The one or more non-transitory computer-readable medium of claim 17, further comprising one or more instructions that when executed on the at least one processor configure the at least one processor to perform one or more operations to cause selection of the at least one integration description from said integration descriptions of the hardware Intellectual Property (IP) based at least on an input received from one or more users.
  • 20. The one or more non-transitory computer-readable medium of claim 17, further comprising one or more instructions that when executed on the at least one processor configure the at least one processor to perform one or more operations to cause provision of said input is in a form of a file selected from at least one of an extended markup language (XML) file, an IP XACT file, a verilog file, a meta-data file or a file in a prescribed format.
CROSS REFERENCE TO RELATED APPLICATION

This U.S. patent application is based on and claims the benefit of domestic priority under 35 U.S.C. 119(e) from provisional U.S. patent application No. 62/634,653, filed on Feb. 23, 2018, the disclosures of which is hereby incorporated by reference herein in its entirety.

US Referenced Citations (401)
Number Name Date Kind
4409838 Schomberg Oct 1983 A
4933933 Dally et al. Jun 1990 A
5105424 Flaig et al. Apr 1992 A
5163016 Har'El et al. Nov 1992 A
5355455 Hilgendorf et al. Oct 1994 A
5432785 Ahmed et al. Jul 1995 A
5563003 Suzuki et al. Oct 1996 A
5583990 Birrittella et al. Dec 1996 A
5588152 Dapp et al. Dec 1996 A
5764740 Holender Jun 1998 A
5790554 Pitcher et al. Aug 1998 A
5859981 Levin et al. Jan 1999 A
5991308 Fuhrmann et al. Nov 1999 A
5999530 LeMaire et al. Dec 1999 A
6003029 Agrawal et al. Dec 1999 A
6029220 Iwamura et al. Feb 2000 A
6058385 Koza et al. May 2000 A
6101181 Passint et al. Aug 2000 A
6108739 James et al. Aug 2000 A
6249902 Igusa et al. Jun 2001 B1
6314487 Hahn et al. Nov 2001 B1
6377543 Grover et al. Apr 2002 B1
6415282 Mukherjea et al. Jul 2002 B1
6668360 Liu Dec 2003 B1
6674720 Passint et al. Jan 2004 B1
6701361 Meier Mar 2004 B1
6711717 Nystrom et al. Mar 2004 B2
6778531 Kodialam et al. Aug 2004 B1
6925627 Longway et al. Aug 2005 B1
6967926 Williams, Jr. et al. Nov 2005 B1
6983461 Hutchison et al. Jan 2006 B2
7046633 Carvey May 2006 B2
7065730 Alpert et al. Jun 2006 B2
7143221 Bruce et al. Nov 2006 B2
7318214 Prasad et al. Jan 2008 B1
7379424 Krueger May 2008 B1
7437518 Tsien Oct 2008 B2
7461236 Wentzlaff Dec 2008 B1
7509619 Miller et al. Mar 2009 B1
7564865 Radulescu Jul 2009 B2
7583602 Bejerano et al. Sep 2009 B2
7590959 Tanaka Sep 2009 B2
7693064 Thubert et al. Apr 2010 B2
7701252 Chow et al. Apr 2010 B1
7724735 Locatelli et al. May 2010 B2
7725859 Lenahan et al. May 2010 B1
7774783 Toader Aug 2010 B2
7808968 Kalmanek, Jr. et al. Oct 2010 B1
7853774 Wentzlaff Dec 2010 B1
7917885 Becker Mar 2011 B2
7957381 Clermidy et al. Jun 2011 B2
7973804 Mejdrich et al. Jul 2011 B2
8018249 Koch et al. Sep 2011 B2
8020163 Nollet et al. Sep 2011 B2
8020168 Hoover et al. Sep 2011 B2
8050256 Bao et al. Nov 2011 B1
8059551 Milliken Nov 2011 B2
8098677 Pleshek et al. Jan 2012 B1
8099757 Riedle et al. Jan 2012 B2
8136071 Solomon Mar 2012 B2
8203938 Gibbings Jun 2012 B2
8261025 Mejdrich et al. Sep 2012 B2
8281297 Dasu et al. Oct 2012 B2
8306042 Abts Nov 2012 B1
8312402 Okhmatovski et al. Nov 2012 B1
8352774 Elrabaa Jan 2013 B2
8407425 Gueron et al. Mar 2013 B2
8412795 Mangano et al. Apr 2013 B2
8438578 Hoover et al. May 2013 B2
8448102 Kornachuk et al. May 2013 B2
8490110 Hoover et al. Jul 2013 B2
8492886 Or-Bach et al. Jul 2013 B2
8503445 Lo Aug 2013 B2
8514889 Jayasimha Aug 2013 B2
8541819 Or-Bach et al. Sep 2013 B1
8543964 Ge et al. Sep 2013 B2
8572353 Bratt et al. Oct 2013 B1
8601423 Philip et al. Dec 2013 B1
8614955 Gintis et al. Dec 2013 B2
8619622 Harrand et al. Dec 2013 B2
8635577 Kazda et al. Jan 2014 B2
8661455 Mejdrich et al. Feb 2014 B2
8667439 Kumar et al. Mar 2014 B1
8705368 Abts et al. Apr 2014 B1
8711867 Guo et al. Apr 2014 B2
8717875 Bejerano et al. May 2014 B2
8726295 Hoover et al. May 2014 B2
8738860 Griffin et al. May 2014 B1
8793644 Michel et al. Jul 2014 B2
8798038 Jayasimha et al. Aug 2014 B2
8819611 Philip et al. Aug 2014 B2
8885510 Kumar et al. Nov 2014 B2
9210048 Marr et al. Dec 2015 B1
9223711 Philip et al. Dec 2015 B2
9244845 Rowlands et al. Jan 2016 B2
9244880 Philip et al. Jan 2016 B2
9253085 Kumar et al. Feb 2016 B2
9294354 Kumar Mar 2016 B2
9319232 Kumar Apr 2016 B2
9444702 Raponi et al. Sep 2016 B1
9471726 Kumar et al. Oct 2016 B2
9473359 Kumar et al. Oct 2016 B2
9473388 Kumar et al. Oct 2016 B2
9473415 Kumar Oct 2016 B2
9477280 Gangwar et al. Oct 2016 B1
9529400 Kumar et al. Dec 2016 B1
9535848 Rowlands et al. Jan 2017 B2
9568970 Kaushal et al. Feb 2017 B1
9569579 Kumar Feb 2017 B1
9571341 Kumar et al. Feb 2017 B1
9571402 Kumar et al. Feb 2017 B2
9571420 Kumar Feb 2017 B2
9590813 Kumar et al. Mar 2017 B1
9660942 Kumar May 2017 B2
9674079 Bellagamba Jun 2017 B1
9699079 Chopra et al. Jul 2017 B2
9742630 Philip et al. Aug 2017 B2
20020071392 Grover et al. Jun 2002 A1
20020073380 Cooke et al. Jun 2002 A1
20020083159 Ward et al. Jun 2002 A1
20020095430 Egilsson et al. Jul 2002 A1
20020150094 Cheng et al. Oct 2002 A1
20030005149 Haas et al. Jan 2003 A1
20030088602 Dutta et al. May 2003 A1
20030145314 Nguyen et al. Jul 2003 A1
20030200315 Goldenberg et al. Oct 2003 A1
20040006584 Vandeweerd Jan 2004 A1
20040019814 Pappalardo et al. Jan 2004 A1
20040049565 Keller et al. Mar 2004 A1
20040103218 Blumrich et al. May 2004 A1
20040156376 Nakagawa Aug 2004 A1
20040156383 Nakagawa et al. Aug 2004 A1
20040216072 Alpert et al. Oct 2004 A1
20050147081 Acharya et al. Jul 2005 A1
20050203988 Nollet et al. Sep 2005 A1
20050228930 Ning et al. Oct 2005 A1
20050286543 Coppola et al. Dec 2005 A1
20060002303 Bejerano et al. Jan 2006 A1
20060031615 Bruce et al. Feb 2006 A1
20060053312 Jones et al. Mar 2006 A1
20060075169 Harris et al. Apr 2006 A1
20060104274 Caviglia et al. May 2006 A1
20060161875 Rhee Jul 2006 A1
20060206297 Ishiyama et al. Sep 2006 A1
20060209846 Clermidy et al. Sep 2006 A1
20060268909 Langevin et al. Nov 2006 A1
20070038987 Ohara et al. Feb 2007 A1
20070088537 Lertora et al. Apr 2007 A1
20070118320 Luo et al. May 2007 A1
20070147379 Lee et al. Jun 2007 A1
20070162903 Babb, II et al. Jul 2007 A1
20070189283 Agarwal et al. Aug 2007 A1
20070244676 Shang et al. Oct 2007 A1
20070256044 Coryer et al. Nov 2007 A1
20070267680 Uchino et al. Nov 2007 A1
20070274331 Locatelli et al. Nov 2007 A1
20080072182 He et al. Mar 2008 A1
20080120129 Seubert et al. May 2008 A1
20080126569 Rhim et al. May 2008 A1
20080127014 Pandey et al. May 2008 A1
20080184259 Lesartre et al. Jul 2008 A1
20080186998 Rijpkema Aug 2008 A1
20080211538 Lajolo et al. Sep 2008 A1
20080232387 Rijpkema et al. Sep 2008 A1
20090037888 Tatsuoka et al. Feb 2009 A1
20090046727 Towles Feb 2009 A1
20090067331 Watsen et al. Mar 2009 A1
20090067348 Vasseur et al. Mar 2009 A1
20090070726 Mehrotra et al. Mar 2009 A1
20090083263 Felch et al. Mar 2009 A1
20090089725 Khan Apr 2009 A1
20090109996 Hoover et al. Apr 2009 A1
20090122703 Gangwal et al. May 2009 A1
20090125574 Mejdrich et al. May 2009 A1
20090125703 Mejdrich et al. May 2009 A1
20090125706 Hoover et al. May 2009 A1
20090135739 Hoover et al. May 2009 A1
20090138567 Hoover et al. May 2009 A1
20090150647 Mejdrich et al. Jun 2009 A1
20090157976 Comparan et al. Jun 2009 A1
20090172304 Gueron et al. Jul 2009 A1
20090182944 Comparan et al. Jul 2009 A1
20090182954 Mejdrich et al. Jul 2009 A1
20090182986 Schwinn et al. Jul 2009 A1
20090182987 Mejdrich et al. Jul 2009 A1
20090187716 Comparan et al. Jul 2009 A1
20090187734 Mejdrich et al. Jul 2009 A1
20090187756 Nollet et al. Jul 2009 A1
20090201302 Hoover et al. Aug 2009 A1
20090210184 Medardoni et al. Aug 2009 A1
20090210883 Hoover et al. Aug 2009 A1
20090228681 Mejdrich et al. Sep 2009 A1
20090228682 Mejdrich et al. Sep 2009 A1
20090228689 Muff et al. Sep 2009 A1
20090228690 Muff et al. Sep 2009 A1
20090231348 Mejdrich et al. Sep 2009 A1
20090231349 Mejdrich et al. Sep 2009 A1
20090240920 Muff et al. Sep 2009 A1
20090245257 Comparan et al. Oct 2009 A1
20090256836 Fowler et al. Oct 2009 A1
20090260013 Heil et al. Oct 2009 A1
20090268677 Chou et al. Oct 2009 A1
20090271172 Mejdrich et al. Oct 2009 A1
20090276572 Heil et al. Nov 2009 A1
20090282139 Mejdrich et al. Nov 2009 A1
20090282197 Comparan et al. Nov 2009 A1
20090282211 Hoover et al. Nov 2009 A1
20090282214 Kuesel et al. Nov 2009 A1
20090282221 Heil et al. Nov 2009 A1
20090282222 Hoover et al. Nov 2009 A1
20090282226 Hoover et al. Nov 2009 A1
20090282227 Hoover et al. Nov 2009 A1
20090282419 Mejdrich et al. Nov 2009 A1
20090285222 Hoover et al. Nov 2009 A1
20090287885 Kriegel et al. Nov 2009 A1
20090292907 Schwinn et al. Nov 2009 A1
20090293061 Schwinn et al. Nov 2009 A1
20090300292 Fang et al. Dec 2009 A1
20090300335 Muff et al. Dec 2009 A1
20090307714 Hoover et al. Dec 2009 A1
20090313592 Murali et al. Dec 2009 A1
20090315908 Comparan et al. Dec 2009 A1
20100023568 Hickey et al. Jan 2010 A1
20100031009 Muff et al. Feb 2010 A1
20100040162 Suehiro Feb 2010 A1
20100042812 Hickey et al. Feb 2010 A1
20100042813 Hickey et al. Feb 2010 A1
20100070714 Hoover et al. Mar 2010 A1
20100091787 Muff et al. Apr 2010 A1
20100100707 Mejdrich et al. Apr 2010 A1
20100100712 Mejdrich et al. Apr 2010 A1
20100100770 Mejdrich et al. Apr 2010 A1
20100100934 Mejdrich et al. Apr 2010 A1
20100106940 Muff et al. Apr 2010 A1
20100125722 Hickey et al. May 2010 A1
20100158005 Mukhopadhyay et al. Jun 2010 A1
20100162019 Kumar et al. Jun 2010 A1
20100189111 Muff et al. Jul 2010 A1
20100191940 Mejdrich et al. Jul 2010 A1
20100211718 Gratz et al. Aug 2010 A1
20100223505 Andreev et al. Sep 2010 A1
20100228781 Fowler et al. Sep 2010 A1
20100239185 Fowler et al. Sep 2010 A1
20100239186 Fowler et al. Sep 2010 A1
20100242003 Kwok Sep 2010 A1
20100269123 Mejdrich et al. Oct 2010 A1
20100284309 Allan et al. Nov 2010 A1
20100333099 Kupferschmidt et al. Dec 2010 A1
20110022754 Cidon et al. Jan 2011 A1
20110035523 Feero et al. Feb 2011 A1
20110044336 Umeshima Feb 2011 A1
20110060831 Ishii et al. Mar 2011 A1
20110063285 Hoover et al. Mar 2011 A1
20110064077 Wen Mar 2011 A1
20110072407 Keinert et al. Mar 2011 A1
20110085550 Lecler et al. Apr 2011 A1
20110085561 Ahn et al. Apr 2011 A1
20110103799 Shacham et al. May 2011 A1
20110119322 Li et al. May 2011 A1
20110154282 Chang et al. Jun 2011 A1
20110173258 Arimilli et al. Jul 2011 A1
20110191088 Hsu et al. Aug 2011 A1
20110191774 Hsu et al. Aug 2011 A1
20110235531 Vangal et al. Sep 2011 A1
20110243147 Paul Oct 2011 A1
20110276937 Waller Nov 2011 A1
20110289485 Mejdrich et al. Nov 2011 A1
20110292063 Mejdrich et al. Dec 2011 A1
20110302345 Boucard et al. Dec 2011 A1
20110302450 Hickey et al. Dec 2011 A1
20110307734 Boesen et al. Dec 2011 A1
20110316864 Mejdrich et al. Dec 2011 A1
20110320719 Mejdrich et al. Dec 2011 A1
20110320724 Mejdrich et al. Dec 2011 A1
20110320771 Mejdrich et al. Dec 2011 A1
20110320854 Elrabaa Dec 2011 A1
20110320991 Hsu et al. Dec 2011 A1
20110321057 Mejdrich et al. Dec 2011 A1
20120022841 Appleyard Jan 2012 A1
20120023473 Brown et al. Jan 2012 A1
20120026917 Guo et al. Feb 2012 A1
20120054511 Brinks et al. Mar 2012 A1
20120072635 Koshida et al. Mar 2012 A1
20120079147 Ishii et al. Mar 2012 A1
20120099475 Tokuoka Apr 2012 A1
20120110106 De Lescure et al. May 2012 A1
20120110541 Ge et al. May 2012 A1
20120144065 Parker et al. Jun 2012 A1
20120155250 Carney et al. Jun 2012 A1
20120173846 Wang et al. Jul 2012 A1
20120176364 Schardt et al. Jul 2012 A1
20120195321 Ramanujam et al. Aug 2012 A1
20120198408 Chopra Aug 2012 A1
20120209944 Mejdrich et al. Aug 2012 A1
20120218998 Sarikaya Aug 2012 A1
20120221711 Kuesel et al. Aug 2012 A1
20120260252 Kuesel et al. Oct 2012 A1
20120311512 Michel et al. Dec 2012 A1
20130021896 Pu et al. Jan 2013 A1
20130028083 Yoshida et al. Jan 2013 A1
20130028090 Yamaguchi et al. Jan 2013 A1
20130028261 Lee Jan 2013 A1
20130036296 Hickey et al. Feb 2013 A1
20130044117 Mejdrich et al. Feb 2013 A1
20130046518 Mejdrich et al. Feb 2013 A1
20130051397 Guo et al. Feb 2013 A1
20130054811 Harrand Feb 2013 A1
20130073771 Hanyu et al. Mar 2013 A1
20130073878 Jayasimha et al. Mar 2013 A1
20130080073 de Corral Mar 2013 A1
20130080671 Ishii et al. Mar 2013 A1
20130086399 Tychon et al. Apr 2013 A1
20130103369 Huynh et al. Apr 2013 A1
20130103912 Jones et al. Apr 2013 A1
20130111190 Muff et al. May 2013 A1
20130111242 Heller et al. May 2013 A1
20130117543 Venkataramanan et al. May 2013 A1
20130138925 Hickey et al. May 2013 A1
20130145128 Schardt et al. Jun 2013 A1
20130148506 Lea Jun 2013 A1
20130151215 Mustapha Jun 2013 A1
20130159668 Muff et al. Jun 2013 A1
20130159669 Comparan et al. Jun 2013 A1
20130159674 Muff et al. Jun 2013 A1
20130159675 Muff et al. Jun 2013 A1
20130159676 Muff et al. Jun 2013 A1
20130159944 Uno et al. Jun 2013 A1
20130160026 Kuesel et al. Jun 2013 A1
20130160114 Greenwood et al. Jun 2013 A1
20130163615 Mangano et al. Jun 2013 A1
20130174113 Lecler et al. Jul 2013 A1
20130179613 Boucard et al. Jul 2013 A1
20130179902 Hoover et al. Jul 2013 A1
20130185542 Mejdrich et al. Jul 2013 A1
20130191572 Nooney et al. Jul 2013 A1
20130191600 Kuesel et al. Jul 2013 A1
20130191649 Muff et al. Jul 2013 A1
20130191651 Muff et al. Jul 2013 A1
20130191824 Muff et al. Jul 2013 A1
20130191825 Muff et al. Jul 2013 A1
20130207801 Barnes Aug 2013 A1
20130219148 Chen et al. Aug 2013 A1
20130250792 Yoshida et al. Sep 2013 A1
20130254488 Kaxiras et al. Sep 2013 A1
20130263068 Cho et al. Oct 2013 A1
20130268990 Urzi et al. Oct 2013 A1
20130294458 Yamaguchi et al. Nov 2013 A1
20130305207 Hsieh et al. Nov 2013 A1
20130311819 Ishii et al. Nov 2013 A1
20130326458 Kazda et al. Dec 2013 A1
20140013293 Hsu et al. Jan 2014 A1
20140068132 Philip et al. Mar 2014 A1
20140068134 Philip et al. Mar 2014 A1
20140082237 Wertheimer et al. Mar 2014 A1
20140086260 Dai et al. Mar 2014 A1
20140092740 Wang et al. Apr 2014 A1
20140098683 Kumar et al. Apr 2014 A1
20140112149 Urzi et al. Apr 2014 A1
20140115218 Philip et al. Apr 2014 A1
20140115298 Philip et al. Apr 2014 A1
20140126572 Hutton et al. May 2014 A1
20140143557 Kuesel et al. May 2014 A1
20140143558 Kuesel et al. May 2014 A1
20140149720 Muff et al. May 2014 A1
20140164465 Muff et al. Jun 2014 A1
20140164704 Kuesel et al. Jun 2014 A1
20140164732 Muff et al. Jun 2014 A1
20140164734 Muff et al. Jun 2014 A1
20140211622 Kumar et al. Jul 2014 A1
20140229709 Kuesel et al. Aug 2014 A1
20140229712 Muff et al. Aug 2014 A1
20140229713 Muff et al. Aug 2014 A1
20140229714 Muff et al. Aug 2014 A1
20140229720 Hickey et al. Aug 2014 A1
20140230077 Muff et al. Aug 2014 A1
20140232188 Cheriyan et al. Aug 2014 A1
20140241376 Balkan et al. Aug 2014 A1
20140254388 Kumar et al. Sep 2014 A1
20140281243 Shalf et al. Sep 2014 A1
20140281402 Comparan et al. Sep 2014 A1
20140307590 Dobbelaere et al. Oct 2014 A1
20140359641 Clark et al. Dec 2014 A1
20140376569 Philip et al. Dec 2014 A1
20150020078 Kuesel et al. Jan 2015 A1
20150026435 Muff et al. Jan 2015 A1
20150026494 Bainbridge et al. Jan 2015 A1
20150026500 Muff et al. Jan 2015 A1
20150032988 Muff et al. Jan 2015 A1
20150032999 Muff et al. Jan 2015 A1
20150043575 Kumar et al. Feb 2015 A1
20150081941 Brown et al. Mar 2015 A1
20150103822 Gianchandani et al. Apr 2015 A1
20150109024 Abdelfattah et al. Apr 2015 A1
20150159330 Weisman et al. Jun 2015 A1
20150178435 Kumar Jun 2015 A1
20150331831 Solihin Nov 2015 A1
20150348600 Bhatia et al. Dec 2015 A1
20150381707 How Dec 2015 A1
20170061053 Kumar et al. Mar 2017 A1
20170063625 Philip et al. Mar 2017 A1
20170063697 Kumar Mar 2017 A1
Foreign Referenced Citations (10)
Number Date Country
103684961 Mar 2014 CN
5936793 May 2016 JP
6060316 Jan 2017 JP
6093867 Feb 2017 JP
10-2013-0033898 Apr 2013 KR
101652490 Aug 2016 KR
101707655 Feb 2017 KR
2010074872 Jul 2010 WO
2013063484 May 2013 WO
2014059024 Apr 2014 WO
Non-Patent Literature Citations (41)
Entry
Lu, “Design and Analysis of On-Chip Communication for Network-on-Chip Platforms”, Royal Institute of Technology School of Information and Communication Technology Department of Electronic, Computer and Software Systems, Mar. 2007 (Year: 2007).
Ababei, C., et al., Achieving Network on Chip Fault Tolerance by Adaptive Remapping, Parallel & Distributed Processing, 2009, IEEE International Symposium, 4 pgs.
Abts, D., et al., Age-Based Packet Arbitration in Large-Radix k-ary n-cubes, Supercomputing 2007 (SC07), Nov. 10-16, 2007, 11 pgs.
Beretta, I, et al., A Mapping Flow for Dynamically Reconfigurable Multi-Core System-on-Chip Design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Aug. 2011, 30(8), pp. 1211-1224.
Das, R., et al., Aergia: Exploiting Packet Latency Slack in On-Chip Networks, 37th International Symposium on Computer Architecture (ISCA'10), Jun. 19-23, 2010, 11 pgs.
Ebrahimi, E., et al., Fairness via Source Throttling: A Configurable and High-Performance Fairness Substrate for Multi-Core Memory Systems, ASPLOS '10, Mar. 13-17, 2010, 12 pgs.
Gindin, R., et al., NoC-Based FPGA: Architecture and Routing, Proceedings of the First International Symposium on Networks-on-Chip (NOCS'07), May 2007, pp. 253-262.
Grot, B., Preemptive Virtual Clock: A Flexible, Efficient, and Cost-Effective QOS Scheme for Networks-on-Chip, Micro '09, December Dec. 16, 2009,12 pgs.
Grot, B., Kilo-NOC: A Heterogeneous Network-on-Chip Architecture for Scalability and Service Guarantees, ISCA 11, Jun. 4-8, 2011, 12 pgs.
Grot, B., Topology-Aware Quality-of-Service Support in Highly Integrated Chip Multiprocessors, 6th Annual Workshop on the Interaction between Operating Systems and Computer Architecture, Jun. 2006, 11 pgs.
Hestness, J., et al., Netrace: Dependency-Tracking for Efficient Network-on-Chip Experimentation, The University of Texas at Austin, Dept. of Computer Science, May 2011, 20 pgs.
Jiang, N., et al., Performance Implications of Age-Based Allocations in On-Chip Networks, CVA MEMO 129, May 24, 2011, 21 pgs.
Lee, J. W., et al., Globally-Synchronized Frames for Guaranteed Quality-of-Service in On-Chip Networks, 35th IEEE/ACM International Symposium on Computer Architecture (ISCA), Jun. 2008, 12 pgs.
Lee, M. M., et al., Approximating Age-Based Arbitration in On-Chip Networks, PACT '10, Sep. 11-15, 2010, 2 pgs.
Li, B., et al., CoQoS: Coordinating QoS-Aware Shared Resources in NoC-based SoCs, J. Parallel Distrib. Comput., 71 (5), May 2011, 14 pgs.
Lin, S., et al., Scalable Connection-Based Flow Control Scheme for Application-Specific Network-on-Chip, The Journal of China Universities of Posts and Telecommunications, Dec. 2011, 18(6), pp. 98-105.
Bolotin, Evgency, et al., “QNoC: QoS Architecture and Design Process for Network on Chip” 2004,24 pages, Journal of Systems Architecture 50 (2004) 105-128 Elsevier.
Holsmark, Shashi Kumar Rickard, et al., “HiRA: A Methodology for Deadlock Free Routing in Hierarchical Networks on Chip”, 10 pages, (978-1-4244-4143-3/09 2009 IEEE).
Munirul, H.M., et al., Evaluation of Multiple-Valued Packet Multiplexing Scheme for Network-on-Chip Architecture, Proceedings of the 36th International Symposium on Multiple-Valued Logic (ISMVL '06), 2006, 6 pgs.
Rajesh BV, Shivaputra, “NoC: Design and Implementation of Hardware Network Interface with Improved Communication Reliability”, 7 pages, International Journal of VLSI and Embedded Systems, IJIVES (vol. 04, Article 06116; Jun. 2013).
Yang, J., et al., Homogeneous NoC-based FPGA: The Foundation for Virtual FPGA, 10th IEEE International Conference on Computer and Information Technology (CIT 2010), Jun. 2010, pp. 62-67.
Zaman, Aanam, “Formal Verification of Circuit-Switched Network on Chip (NoC) Architectures using SPIN”, Oosman Hasan, IEEE © 2014, 8 pages.
Benini, Luca, et al., “Networks on Chips: A New SoC Paradigm”, IEEE Computers, SOC Designs, pp. 70-78, Copyright 2002 IEEE. 0018-9162/02.
Sethuraman, Ranga Vemuri Balasubramanian, “optiMap: A Tool for Automated Generation of NoC Architecture Using Multi-Port Routers for FPGAs”, IEEE, pp. 1-6, 2006.
International Search Report and Written Opinion for PCT/US2014/060745, dated Jan. 21, 2015, 10 pgs.
International Search Report and Written Opinion for PCT/US2014/060879, dated Jan. 21, 2015, 10 pgs.
International Search Report and Written Opinion for PCT/US2014/060892, dated Jan. 27, 2015, 10 pgs.
International Search Report and Written Opinion for PCT/US2014/060886, dated Jan. 26, 2015, 10 pgs.
International Search Report and Written Opinion for PCT/US2013/064140, dated Jan. 22, 2014, 9 pgs.
International Search Report and Written Opinion for PCT/US2014/012003, dated Mar. 26, 2014, 9 pgs.
International Search Report and Written Opinion for PCT/US2014/012012, dated May 14, 2014, 9 pgs.
International Search Report and Written Opinion for PCT/US2014/023625, dated Jul. 10, 2014, 9 pgs.
International Preliminary Report on Patentability for International Application No. PCT/US2013/064140, dated Apr. 14, 2015, 5 pages.
Office Action for Korean Patent Application No. 10-2016-7019093 dated Sep. 8, 2016, 3 pages plus 1 page English translation. KIPO, Korea.
Notice of Allowance for for Korean Patent Application No. 10-2016-7019093 dated Sep. 8, 2016, 4 pages. KIPO, Korea.
International Search Report and Written Opinion for PCT/US2014/037902, dated Sep. 30, 2014, 14 pgs.
Office Action for Japanese Patent Application No. 2015-535898 dated Oct. 25, 2016, 2 pages English, 2 pages untranslated copy. Japan Patent Office.
Notice of Grant for Japanese Patent Application No. 2015-535898 dated Jan. 17, 2017, 3 pages, untranslated. Japan Patent Office.
International Search Report and Written Opinion for PCT/US2014/048190, dated Nov. 28, 2014, 11 pgs.
Office Action for Japanese Patent Application No. 2016-516030 dated Aug. 30, 2016, 2 pages, Japan Patent Office.
Decision to Grant for Japanese Patent Application No. 2016-516030 dated Nov. 22, 2016, 6 pages, untranslated, Japan Patent Office.
Related Publications (1)
Number Date Country
20190266307 A1 Aug 2019 US
Provisional Applications (1)
Number Date Country
62634653 Feb 2018 US