Three state latch

Information

  • Patent Grant
  • 10141930
  • Patent Number
    10,141,930
  • Date Filed
    Tuesday, June 4, 2013
    10 years ago
  • Date Issued
    Tuesday, November 27, 2018
    5 years ago
Abstract
Three state latch. In accordance with a first embodiment, an electronic circuit includes a single latch having three stable states. The electronic circuit may be configured so that all three outputs reflect a change at any one input in not more than three gate delays. The electronic circuit may further be configured so that when all inputs are set to one, a previous state of the latch is retained and output on the outputs.
Description
RELATED APPLICATIONS

This application is related to co-pending, commonly owned U.S. patent application Ser. No. 13/910,001, filed Jun. 4, 2013, entitled “Handshaking Sense Amplifier,” to Gotterba and Wang and to U.S. patent application Ser. No. 13/910,038, filed Jun. 4, 2013, entitled “Pipelined One Cycle Throughput for Single-Port 6T RAM,” to Wang and Gotterba. Both applications are hereby incorporated herein by reference in their entireties for all purposes.


FIELD OF INVENTION

Embodiments of the present invention relate to the field of integrated circuit design and operation. More specifically, embodiments of the present invention relate to systems and methods for three state latches.


BACKGROUND

The term “latch” conventionally is used to refer to or to describe an electronic circuit that has two stable states and may be used to store a value, e.g., a single bit of information. Latches may be used for a wide variety of circuit applications, including, for example, in finite state machines, as counters and for synchronizing signals. If more than two states, e.g., more than one bit of information, are required, it is common to combine two or more latches to represent the desired number of states.


Unfortunately, such multiple-latch circuits suffer from several deleterious drawbacks. For example, a multiple-latch circuit generally requires additional circuitry surrounding the latches to “interpret” the combined state of the latches, and to control the state changes of the multiple latches. Such additional circuitry may slow down the operation of the multiple-latch circuit. In addition, a multiple-latch circuit is less deterministic than a single latch. For example, while any single latch may be in a known state, a multiple-latch circuit may be in a variety of transitory states. For example, one latch of a multiple-latch circuit may operate faster than another, completing a state transition prior to another latch changing state. Additionally, the state of one latch may depend on the state of another latch, and thus cannot change until the other latch has completed its transition. Further, the state-control logic surrounding the multiple latches requires a finite time to command, control and/or report a combined state of the multiple latches, further introducing times at which a state of a multiple-latch circuit is indeterminate.


SUMMARY OF THE INVENTION

Therefore, what is needed are systems and methods for three state latches. What is additionally needed are systems and methods for three state latches that store more than two states in a single latch circuit. A further need is for systems and methods for three state latches that store more than two states in a single latch circuit in a deterministic manner. A still further need exists for systems and methods for three state latches that are compatible and complementary with existing systems and methods of integrated circuit design, manufacturing and test. Embodiments of the present invention provide these advantages.


In accordance with a first embodiment of the present invention, an electronic circuit includes a single latch having three stable states. The electronic circuit may be configured so that all three outputs reflect a change at any one input in not more than three gate delays. The electronic circuit may further be configured so that when all inputs are set to one, a previous state of the latch is retained and output on the outputs.


In accordance with another embodiment of the present invention, an electronic circuit includes n pairs of cascaded logical gates, wherein each of the n pairs of cascaded logical gates includes a first logical gate comprising n−1 first gate inputs and one first gate output, and a second logical gate comprising two second gate inputs and one second gate output. One of the second gate inputs is coupled to the first gate output. The second gate output is cross coupled to one of the first gate inputs of all other pairs of cascaded gates. The value of n is greater than two. The pairs of cascaded gates may be rendered as a single OR-AND-Invert (OAI) gate.


In accordance with a further embodiment of the present invention, an electronic circuit includes a single latch circuit. The single latch circuit includes a first OR-AND-Invert gate having an output coupled to an OR input of a second OAI gate and to an OR input of a third OAI gate. The second OR-AND-Invert gate has an output coupled to an OR input of the first OAI gate and to an OR input of the third OAI gate. The third OR-AND-Invert gate has an output coupled to an OR input of the first OAI gate and to an OR input of the second OAI gate.





BRIEF DESCRIPTION OF THE DRAWINGS

The accompanying drawings, which are incorporated in and form a part of this specification, illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention. Unless otherwise noted, the drawings are not drawn to scale.



FIG. 1A illustrates an exemplary three state latch, in accordance with embodiments of the present invention.



FIG. 1B illustrates an exemplary truth table for a three state latch, in accordance with embodiments of the present invention.



FIG. 1C illustrates a logical equivalence between a combination of OR and NAND gates and an OAI gate.



FIG. 2A illustrates an exemplary four state latch, in accordance with embodiments of the present invention.



FIG. 2B illustrates an exemplary truth table for a four state latch, in accordance with embodiments of the present invention.





DETAILED DESCRIPTION

Reference will now be made in detail to various embodiments of the present invention, examples of which are illustrated in the accompanying drawings. While the invention will be described in conjunction with these embodiments, it is understood that they are not intended to limit the invention to these embodiments. On the contrary, the invention is intended to cover alternatives, modifications and equivalents, which may be included within the spirit and scope of the invention as defined by the appended claims. Furthermore, in the following detailed description of the invention, numerous specific details are set forth in order to provide a thorough understanding of the invention. However, it will be recognized by one of ordinary skill in the art that the invention may be practiced without these specific details. In other instances, well known methods, procedures, components, and circuits have not been described in detail as not to unnecessarily obscure aspects of the invention.


Three State Latch

It is to be appreciated that the term “three state” as used herein does not refer to, and is not analogous to the term “Tri-State®,” a registered trademark of Texas Instruments, Inc., of Dallas, Tex. As is known to those of skill in the art, a Tri-State® device includes conventional “high” and “low” outputs, as well as a high impedance, or “hi-Z,” output state. Embodiments in accordance with the present invention store three (or more) states in a single latch.



FIG. 1A illustrates an exemplary three state latch 100, in accordance with embodiments of the present invention. Three state latch 100 comprises three inputs, 131 A, 132 B and 133 C, and three outputs, 121 X, 122 Y and 123 Z. Three state latch 100 comprises three two-input NAND gates 101, 102 and 103, and three two-input OR gates, 111, 112 and 113.



FIG. 1B illustrates an exemplary truth table 150 for three state latch 100 of FIG. 1A, in accordance with embodiments of the present invention. Setting input 131 A, 132 B or 133 C to zero forces the corresponding output 121 X, 122 Y or 123 Z to one. For example, setting input 133 C to zero forces output 123 Z to one. Similarly, setting an input to one forces the corresponding output to zero if any other input is zero.


If all inputs 131 A, 132 B and 133 C are set to one, then the output of latch 100 will retain the state it had last, as indicated by the last row of truth table 150. The “star” notation, e.g., “X*,” indicates previous state of the output signal line. For example, if inputs 131 A and 132 B are set to one, and input 133 C is set to zero, outputs 121 X and 122 Y will be zero, and output 123 Z will be set to one. Changing input 133 C from zero to one will result in all inputs set to one, and the outputs will retain their previous state. In this example, outputs 121 X and 122 Y will be zero, and output 123 Z will be set to one. In accordance with embodiments of the present invention, which ever input is the last to transition from zero to one will have its output remain one.


It is appreciated that embodiments in accordance with the present invention offer several advantages in comparison to a three state circuit based on multiple conventional, e.g., two-state, latches. For example, there are no transitory states. In addition, embodiments in accordance with the present invention may operate asynchronously, e.g., with unclocked handshaking signals. Further, further, embodiments in accordance with the present invention generally require fewer gates, less die area and are thus less expensive in comparison to the conventional art. Still further, embodiments in accordance with the present invention will generally operate faster, e.g., with fewer gate delays, than under the conventional art. For example, in accordance with embodiments of the present invention, the worst case delay from input to output is two gate delays.


It is appreciated that three state latch 100 (FIG. 1) may be constructed from instances of an “OR-AND-INVERT” (“OAI”) gate structure, which is logically (but not physically) equivalent to the illustrated pairs of cascaded gates. For example, symbolic gates 111 and 101 together represent an OR gate 111, followed by an AND gate followed by inversion, e.g., NAND gate 101. In accordance with embodiments of the present invention, a three state latch may be formed from an “AND-OR-INVERT” (“AOI”) gate structure, with inversion of the truth table. Such embodiments are considered within the scope of the present invention.


Latch 100 of FIG. 1A is presented schematically as a logical combination of OR and NAND gates. Each pair of OR and NAND gates may be rendered as a single OR-AND-Invert (OAI) gate with an equivalent logical function. FIG. 1C illustrates a logical equivalence between a combination of OR and NAND gates 198, e.g., as illustrated in FIG. 1A, and an OAI gate 199. While logically equivalent, e.g., gates 198 and gate 199 have the same truth table, it is appreciated that there are physical differences between two separate, cascaded gates as illustrated in 198 and a single OAI gate 199. For example, OAI gate 199 will generally comprise fewer transistors, less die area, and operate faster and at less power consumption, in comparison to the 198 structure. For example, OAI gate 199 produces an output in a single gate delay, whereas gates 198 may generally be expected to require two gate delays to propagate a signal.


Accordingly, embodiments in accordance with the present invention may utilize an OAI gate structure, e.g., OAI gate 199, or an AOI gate structure. However, the schematic representations presented herein illustrate the logical function of the separate gates. For example, all inputs of OAI gate 199 do not have the same logical function, and hence schematics utilizing the logical function of the separate gates represent a preferred approach to illustrate aspects of the present invention. With reference to FIG. 1C, inputs A and B are referred to as OR inputs of the OAI gate 199, and its schematic equivalent 198. Input C is referred to as a NAND input.


In addition, in accordance with embodiments of the present invention, latches with an arbitrary number of inputs may be formed by “widening” the first part of the gate, e.g., the OR gate in the exemplary OAI gate structure. For example, to form a four-input latch, the OR gates of FIG. 1A should be changed to be three input gates.



FIG. 2A illustrates an exemplary four state latch 200, in accordance with embodiments of the present invention. Four state latch 200 comprises four inputs, 231 A, 232 B, 233 C and 234 D, and four outputs, 221 X, 222 Y, 223 Z and 224 W. Four state latch 200 comprises four two-input NAND gates 201, 202, 203 and 204, and four three-input OR gates, 211, 212, 213 and 214.



FIG. 2B illustrates an exemplary truth table 250 for four state latch 200 of FIG. 2A, in accordance with embodiments of the present invention. Setting input 231 A, 232 B, 233 C or 234 D to zero forces the corresponding output 221 X, 222 Y, 223 Z or 224 W to one. For example, setting input 233 C to zero forces output 223 Z to one.


If all inputs 231 A, 232 B, 233 C and 234 D are set to one, then the output of latch 200 will retain the state it had last, as indicated by the last row of truth table 250. The “star” notation, e.g., “X*,” indicates previous state of the output signal line. For example, if inputs 231 A, 232 B, and 233 C are set to one, and input 234 D is set to zero, outputs 221 X, 222 Y and 223 Z will be zero, and output 224 W will be set to one. Changing input 234 D from zero to one will result in all inputs set to one, and the outputs will retain their previous state. In this example, outputs 221 X, 222 Y and 223 Z will be zero, and output 224 W will be set to one. In accordance with embodiments of the present invention, which ever input is the last to transition from zero to one will have its output remain one.


As illustrated in three state latch 100 of FIG. 1A and four state latch 200 of FIG. 2A, all outputs are cross coupled to logic accepting the other inputs. For example, with respect to four state latch 200 of FIG. 2A, output 221 X is coupled to OR gate 212, a part of the logic accepting input 232 B, is coupled to OR gate 213, a part of the logic accepting input 233 C, and is coupled to OR gate 214, a part of the logic accepting input 234 D. Accordingly, the first gate of each stage should have inputs for the number of states (stages) minus one.


Embodiments in accordance with the present invention provide systems and methods for three state latches. In addition, embodiments in accordance with the present invention provide systems and methods for three state latches that store more than two states in a single latch circuit. Further, embodiments in accordance with the present invention provide systems and methods for three state latches that store more than two states in a single latch circuit in a deterministic manner. Still further, embodiments in accordance with the present invention provide systems and methods for three state latches that store more than two states in a single latch circuit that are compatible and complementary with existing systems and methods of integrated circuit design, manufacturing and test.


Various embodiments of the invention are thus described. While the present invention has been described in particular embodiments, it should be appreciated that the invention should not be construed as limited by such embodiments, but rather construed according to the below claims.

Claims
  • 1. An electronic circuit comprising a single latch having three stable states, said latch comprising three inputs and three outputs for indicating said three stable states, and wherein said latch is configured wherein all said three outputs reflect a change at any one of said inputs in not more than two gate delays.
  • 2. The electronic circuit of claim 1 wherein the three inputs are for controlling said three stable states.
  • 3. The electronic circuit of claim 1 configured wherein a zero on an input forces a corresponding output to one.
  • 4. The electronic circuit of claim 1 configured wherein a one on less than all inputs forces a corresponding output to zero.
  • 5. The electronic circuit of claim 1 configured wherein when all inputs are set to one, a previous state of the latch is retained and output on said outputs.
  • 6. The electronic circuit of claim 1 wherein said single latch circuit is configured to operate without a clock signal.
  • 7. The electronic circuit of claim 1 wherein said single latch circuit has no transitory states.
  • 8. The electronic circuit of claim 1 wherein said single latch circuit comprises a maximum of 18 transistors.
  • 9. An electronic circuit comprising: a single latch having 2n−1 stable states, said latch comprising: n outputs for indicating said 2n−1 stable states,wherein n is an integer greater than 2.
  • 10. The electronic circuit of claim 9 comprising exactly n outputs for indicating said 2n−1 stable states.
  • 11. The electronic circuit of claim 9 wherein said latch is configured wherein all said n outputs reflect a change at any one input in not more than two gate delays.
  • 12. The electronic circuit of claim 9 further comprising n inputs for controlling said 2n−1 stable states.
  • 13. The electronic circuit of claim 12 configured so that a zero on an input forces a corresponding output to one.
  • 14. The electronic circuit of claim 12 configured so that a one on less than all inputs forces a corresponding output to zero.
  • 15. The electronic circuit of claim 12 configured so that when all inputs are set to one, a previous state of the latch is retained and output on said outputs.
  • 16. An electronic circuit comprising a single latch having n stable states, said latch comprising n outputs for indicating said n stable states, and wherein said latch is configured wherein all said n outputs reflect a change at any one input in not more than two gate delays, wherein n is an integer greater than 3.
  • 17. The electronic circuit of claim 16 comprising n inputs for controlling said n stable states.
  • 18. The electronic circuit of claim 16 configured wherein a zero on an input forces a corresponding output to one.
  • 19. The electronic circuit of claim 16 configured wherein a one on less than all inputs forces a corresponding output to zero.
  • 20. The electronic circuit of claim 16 configured wherein when all inputs are set to one, a previous state of the latch is retained and output on said outputs.
US Referenced Citations (124)
Number Name Date Kind
3178590 Heilweil Apr 1965 A
3241122 Bardell, Jr. Mar 1966 A
3252004 Scherr May 1966 A
3381232 Hoernes Apr 1968 A
3413557 Muhlenbruch Nov 1968 A
3444470 Bolt May 1969 A
3474262 Turcotte Oct 1969 A
3909634 Maley Sep 1975 A
4076299 Dalton Feb 1978 A
4107549 Moufah Aug 1978 A
4256411 Podosek Mar 1981 A
5032708 Comerford et al. Jul 1991 A
5262973 Richardson Nov 1993 A
5305258 Koshizuka Apr 1994 A
5349255 Patel Sep 1994 A
5422805 McIntyre et al. Jun 1995 A
5586069 Dockser Dec 1996 A
5586081 Mills et al. Dec 1996 A
5604689 Dockser Feb 1997 A
5615113 Matula Mar 1997 A
5638312 Simone Jun 1997 A
5748515 Glass et al. May 1998 A
5821791 Gaibotti et al. Oct 1998 A
5867443 Linderman Feb 1999 A
5903171 Shieh May 1999 A
5949706 Chang et al. Sep 1999 A
6009451 Bums Dec 1999 A
6041008 Marr Mar 2000 A
6118304 Potter Sep 2000 A
6125064 Kim et al. Sep 2000 A
6133754 Olson Oct 2000 A
6173303 Avigdor et al. Jan 2001 B1
6300809 Gregor et al. Oct 2001 B1
6304505 Forbes et al. Oct 2001 B1
6310501 Yamashita Oct 2001 B1
6396309 Zhao et al. May 2002 B1
6438024 Gold et al. Aug 2002 B1
6442721 Whetsel Aug 2002 B2
6452433 Chang et al. Sep 2002 B1
6472920 Cho et al. Oct 2002 B1
6501677 Rau et al. Dec 2002 B1
6580411 Kubota et al. Jun 2003 B1
6630853 Hamada Oct 2003 B1
6646938 Kodama Nov 2003 B2
6714060 Araki Mar 2004 B2
6747485 Suryanarayana et al. Jun 2004 B1
6771104 Hars Aug 2004 B2
6842059 Wu Jan 2005 B1
6924683 Hayter Aug 2005 B1
7051169 Ganton May 2006 B2
7057421 Shi et al. Jun 2006 B2
7111133 Ishikawa et al. Sep 2006 B2
7196552 Zhou Mar 2007 B2
7227798 Gupta et al. Jun 2007 B2
7242235 Nguyen Jul 2007 B1
7304903 Mukhopadhyay et al. Dec 2007 B2
7333516 Sikkink et al. Feb 2008 B1
7405606 Kok et al. Jul 2008 B2
7408393 Jain et al. Aug 2008 B1
7414903 Noda Aug 2008 B2
7418641 Drake et al. Aug 2008 B2
7498850 Hendrickson Mar 2009 B2
7499347 Chen et al. Mar 2009 B2
7613030 Iwata et al. Nov 2009 B2
7724606 Osawa et al. May 2010 B2
7760117 Chou Jul 2010 B1
8164934 Watanabe et al. Apr 2012 B2
8330517 Cline Dec 2012 B1
8332957 Hayasaka Dec 2012 B2
8352530 Dao et al. Jan 2013 B2
8363492 Ishino et al. Jan 2013 B2
8369177 Hold et al. Feb 2013 B2
8453096 Magee et al. May 2013 B2
8488360 Okuda Jul 2013 B2
8565034 Lu et al. Oct 2013 B1
8570818 Jung et al. Oct 2013 B2
8742796 Dally et al. Jun 2014 B2
8760208 Dike et al. Jun 2014 B2
8830766 Sahu Sep 2014 B2
8848458 Kottapalli et al. Sep 2014 B2
8908449 Ramaraju Dec 2014 B1
8964457 Liaw Feb 2015 B2
20020089364 Goldgeisser Jul 2002 A1
20020158663 Shemesh Oct 2002 A1
20030117170 Meneghini Jun 2003 A1
20030123320 Wright et al. Jul 2003 A1
20030156461 Demone Aug 2003 A1
20030210078 Wijetunga et al. Nov 2003 A1
20040027184 Araki Feb 2004 A1
20040160244 Kim Aug 2004 A1
20040243896 Jaber et al. Dec 2004 A1
20050040856 Ramaraju et al. Feb 2005 A1
20050108604 Wong May 2005 A1
20050128844 Yamagami Jun 2005 A1
20050278661 Lablans Dec 2005 A1
20060049852 Park et al. Mar 2006 A1
20070028157 Drake et al. Feb 2007 A1
20070130242 Tajiri Jun 2007 A1
20070146033 Pesci Jun 2007 A1
20070180006 Gyoten et al. Aug 2007 A1
20070253263 Noda Nov 2007 A1
20080086667 Chen et al. Apr 2008 A1
20080195337 Agarwal et al. Aug 2008 A1
20080270862 Drake et al. Oct 2008 A1
20090040848 Nitta Feb 2009 A1
20090168499 Kushida et al. Jul 2009 A1
20100102890 Stratz et al. Apr 2010 A1
20100109707 Srivastava et al. May 2010 A1
20100332924 Ziaja et al. Dec 2010 A1
20110066904 Lackey Mar 2011 A1
20110168875 Okuda Jul 2011 A1
20120147680 Koike Jun 2012 A1
20120163068 Houston Jun 2012 A1
20120182056 Dally et al. Jul 2012 A1
20130080491 Pitkethly Mar 2013 A1
20130080740 Gentle et al. Mar 2013 A1
20130129083 Fujino May 2013 A1
20130155781 Kottapalli et al. Jun 2013 A1
20130155783 Kottapalli et al. Jun 2013 A1
20140003160 Trivedi Jan 2014 A1
20140056050 Yang et al. Feb 2014 A1
20140355362 Wang et al. Dec 2014 A1
20150016183 Sinangil et al. Jan 2015 A1
20160269002 Zhang et al. Sep 2016 A1
Foreign Referenced Citations (1)
Number Date Country
2004214997 Jul 2004 JP
Non-Patent Literature Citations (2)
Entry
Bowman. et al., “Time-Borrowing Multi-Cycle On-Chip Interconnects for Delay Variation Tolerance”, Circuit Research Lab, Intel Corporation, Hillsboro, OR, Copyright 2006, ISLPED Oct. 4-6, 2006, pp. 79-84.
Neste, Neil H.E., and David Money Harris. CMOS VLSI Design: A Circuits and Systems Perspective. 2011. Addison-Wesley. 4th Ediition. Chapter 1, pp. 1-61.
Related Publications (1)
Number Date Country
20140354330 A1 Dec 2014 US