Analysis optimizer

Information

  • Patent Grant
  • 8832609
  • Patent Number
    8,832,609
  • Date Filed
    Monday, July 22, 2013
    10 years ago
  • Date Issued
    Tuesday, September 9, 2014
    9 years ago
Abstract
A method of preparing a set of target layout data for the application of a photolithographic friendly design (LFD) analysis or other photolithographic analysis. The target layout data is revised to remove areas or features prior to performing the LFD analysis. The features removed include features that have been determined to print correctly, duplicate features and features that are not sensitive to variations in process conditions. The revised target layout is analyzed to determine if the features that remain will print correctly on a wafer.
Description
FIELD

The technology disclosed herein relates to methods for preparing design data for manufacturing, and in particular, to methods for determining if features of a target layout of an integrated circuit will print correctly on a wafer when designated photolithographic manufacturing techniques are used.


BACKGROUND

In conventional photolithographic processing, an integrated circuit (IC) is created by printing a pattern of features defined on a mask or reticle onto a semiconductor wafer that is coated with photosensitive materials. The printed wafer is then chemically and mechanically processed to create various circuit components having shapes corresponding to those of the printed features. The wafer is then re-coated with another layer of photosensitive materials and the process continues to build various layers of the integrated circuit.


As the size or spacing of the features to be printed on the wafer becomes smaller than the wavelength of light used to print a pattern of features onto the semiconductor wafer, optical and other process distortions occur such that the pattern that is actually printed on the wafer may not match the desired target pattern. As a result, numerous resolution enhancement techniques have been developed to improve the fidelity with which a target pattern of features can be printed on a wafer. Examples of resolution enhancement techniques include optical and process correction (OPC), sub-resolution assist features (SRAFs) and phase shift masks.


Additional techniques can be used to verify that a desired target pattern will print on a wafer. These techniques, sometimes called design for manufacturing (DFM) techniques, sometimes called “hotspot” detection techniques, or sometimes referred to as lithography friendly design (LFD) methods, analyze a proposed circuit layout to ensure that the features will print correctly under a variety of different process conditions. These conditions will be specific for the manufacturing process selected, and can include variations in the dose and focus of the light that will be used to expose the pattern onto a wafer. After such an analysis, a circuit designer is alerted to the areas or particular features within the design that may not be properly manufactured.


One approach to this analysis is to use a process model of some sort to estimate the appearance of the pattern on the wafer. This model is often calibrated to the behavior of a particular process or tool set, and encoded into software that allows rapid computation of the pattern on the wafer under the many variations of process conditions that may be reasonably expected.


If the model used in this approach is accurate, this can be almost assured of finding all the locations which may prove to be a problem for manufacturing. This rigorous analysis therefore represents a very reliable approach to detecting problems areas in an IC layout. However, although advances have been made in massively parallel computing that may allow simultaneous computation for many process conditions, this still remains a massive computation job, especially as IC dimensions grow smaller and the sensitivity to process variations grows. To complete a reasonable assessment of a layout in a reasonable amount of time with this full model-based treatment remains a challenge.


One approach to improve the speed of analysis is to use “libraries” of known problems. Here, the proposed circuit layout is analyzed by comparing the feature pattern of a target layout to a database library of known patterns that do not print correctly. The defective patterns are most often detected by a fabrication facility based on test patterns that are printed or from actual experience printing other similar circuits, but can also be simulated using a calibrated process model. As more defective patterns are detected under a variety of conditions, the database becomes increasingly large and the time required to confirm the manufacturability of a layout by comparison against this ever growing library can be take several days or longer using a high speed or networked computer system. Furthermore, it is possible that a new circuit layout will include features that will fail, but have not previously been detected and included in the database library. Therefore, even if a “hotspot” analysis is performed on a circuit layout, there is no guarantee that all errors can be found, and that all the features in the layout will print as desired.


SUMMARY

To address these and other concerns, the technology disclosed herein relates to a method of analyzing a set of target layout data to determine if the features of the target layout will print correctly on a wafer. Instead of analyzing all the features in the target layout, the data volume or number of features in the target layout data is reduced by removing features or areas that can be determined to print correctly. Those features or areas of the target layout that remain define a revised set of target layout data that is then subjected to a rigorous analysis.


In one embodiment, the data volume or number of features in the target layout data is reduced by removing features or areas of the target layout that have already been analyzed with an analysis tool or other tool and have been determined to print correctly. In addition, duplicated features or cells of features in the layout data can be removed such that separate analyses do not need to be performed for each instance of the same feature or cell.


In one embodiment, those features that remain in the target layout are fragmented into a number of edge fragments. In one embodiment, each edge fragment is analyzed to determine if it is part of a large feature, is next to a corner or is at a corner of a feature or is within a predetermined distance of another edge fragment. Edge fragments that are part of a large feature, are not at a corner or adjacent to a corner and are not within a predetermined distance of another edge fragment are removed from the target layout.


In one embodiment, the remaining edge fragments in the target layout are analyzed with an optical and process correction (OPC) tool. The OPC corrected edge fragments are analyzed to determine the sensitivity of an edge placement error (EPE) to process variations such as variations in dose and focus. Edge fragments having an EPE that is sensitive to process variations are analyzed with an LFD tool or other analysis tool to determine if the corresponding features of which the edge fragments are a part will print correctly on the wafer.


Any edge fragments that are determined to likely print incorrectly may be provided to a circuit designer in the form of an error list.





BRIEF DESCRIPTION OF THE DRAWINGS

The foregoing and other objects, features, and advantages of the invention will become more apparent from the following detailed description, which proceeds with reference to the accompanying figures, wherein:



FIG. 1 illustrates a conventional system for performing a “hotspot” analysis;



FIG. 2 illustrates a technique for performing an LFD or other photolithographic analysis in accordance with one embodiment of the disclosed technology;



FIGS. 3A-3C are flowcharts of acts performed in accordance with one embodiment of the disclosed technology to perform an LFD analysis on a target layout;



FIGS. 4A-4G illustrate techniques for analyzing replicated features in a target layout according to an embodiment of the disclosed technology; and



FIG. 5 illustrates edge fragments of a target layout that are within a predetermined distance of each other.





DETAILED DESCRIPTION


FIG. 1 illustrates a conventional system for performing a lithographic “hotspot” analysis on a target layout. A computer system 20 receives a set of target layout data 30. The target layout data 30 is typically stored in a database in a layout description language such as GDS-II or OASIS. To perform the analysis, the computer system 20 also accesses an error database 40 that includes descriptions of feature patterns that are known to print incorrectly on a wafer under various process conditions. Typically, the error database 40 is populated with information received from a fabrication facility, but can also include data generated from simulation models. The computer system 20 then compares the feature patterns of the target layout data 30 with the feature patterns defined in the error database 40 to determine if the features of the target layout will print correctly on a wafer. Those feature patterns of the target layout that match the feature patterns in the error database 40 are used to produce an error list 44, which may be in physical or electronic form. The error list 44 can be reviewed by the circuit designer to either change the configuration of the target layout or the conditions under which the target layout will be printed so that circuits can be manufactured as desired.



FIG. 2 illustrates a system for performing an LFD or other photolithographic analysis on a target layout in accordance with one embodiment of the disclosed technology. In this embodiment, the computer system 20 receives a set of target layout data 50 or portion thereof that defines a number of features to be printed on a wafer. In order to reduce the time required to perform the LFD analysis, areas or features in the target layout that are known to print correctly are removed from the target layout to define a revised target layout on which the LFD analysis is performed. In addition, duplicates of features that are defined in the target layout are removed prior to performing the analysis. Finally, those features that are positioned far away from other features or are otherwise sufficiently robust such that they will print correctly are removed prior to performing the analysis. In the example shown, individual features or areas including groups of features 52-56 are removed from the target layout to create the revised target layout prior to performing the LFD analysis. Features 58 and 60 remain in the target layout for analysis by one or more photolithographic tools such as an LFD analysis 70, which is used to produce electronic or physical error list 80. It should be noted that, since the revised target layout is considerably smaller, the more rigorous, full model-based analysis techniques, which are impractical on the full layout, can now be executed in a reasonable amount of time.



FIGS. 3A-3C are flow charts of acts performed in accordance with one embodiment of the disclosed technology to prepare a revised target layout prior to performing an LFD analysis. Although the acts are illustrated in a particular order, it will be appreciated that the acts may be performed in different orders while still achieving the functionality described. In addition, not all the acts described need to be performed in order to achieve the benefits of the disclosed technology. Also, although the disclosed embodiments of the technology are described as being carried out for use with an LFD analysis, these layout data reduction techniques could be applied for use with other analysis tools.


A computer system executes a sequence of programmed instructions contained on a computer storage medium (CD-ROM, hard drive, DVD etc.) or received over a computer communication link such as the Internet, to create the revised target layout for photolithographic analysis. Beginning at 100, an original set of target layout data or portion thereof is received by the computer system on a computer storage medium (CD-ROM, hard drive etc. or from a computer communication link such as a wired or wireless computer communication link including the Internet etc.). At 120, it is determined whether any features or areas of the target layout have been previously subjected to an LFD analysis or another type of photolithographic analysis that confirms that the features will print as desired. If so, those previously analyzed features or areas are removed from the target layout.


In one embodiment, if a feature or group of features is removed from the target layout, a border area that extends inwardly of the boundaries of the features or area to be removed is maintained in the revised target layout in order to provide local context for the features that remain. In one embodiment, the border area has a width of one optical diameter of the photolithographic processing system to be used to print the target layout.


At 126, it is determined if there are any replicated features in the target layout. In one embodiment, replicated features having a size that is smaller than the optical diameter of the photolithographic process are combined such that the combined features have a size that is as large as, or larger than, the optical diameter. At 130, a search is performed in the target layout for patterns of features matching the combined replicated features. Duplicate patterns of the combined features are removed from the target layout at 132.



FIG. 4A illustrates a 4×8 array 200 of a number of identical replicated features “A” in a target layout. To avoid performing the LFD analysis for each of the 32 instances of feature A, the duplicate entries can be removed from consideration. FIG. 4B illustrates a 3×3 sub-array 206 within the larger the array 200. The sub-array 206 includes a central instance of feature A 208 that is surrounded on all sides by similar features. In the example shown, the array 200 includes twelve instances of the feature A 208 that are surrounded by identical features. Therefore, the LFD analysis of one instance of feature A 208 can be performed and used for the remaining eleven instances of feature A 208.


In FIG. 4C illustrates an instance of feature A 210 that is located on a side of the array 200 and is bounded on three sides by similar features. In the example shown, there are six identical instances of a feature 210 in the array 200. The analysis performed for one instance of the feature 210 can be repeated for the additional five instances of feature 210.



FIG. 4D illustrates an instance of a feature A 212 at a corner of the array 200. In the example shown, there are four unique instances of a corner feature, each of which is analyzed separately.



FIG. 4E illustrates the similar features 208, 210, 212, 214, 216, 218, 220, 222 that are present in the array 200. For any 3×3 or larger array there is a minimum of nine separate instances of a replicated feature that need to be analyzed. In the example shown, the number of features in the array 200 that are subjected to the LFD analysis can be reduced from 32 features to 9 features.



FIG. 4F illustrates one technique for analyzing an additional feature 240 that interacts with features in the array 200. To compensate for the local interaction, a boundary box 250 is determined that extends outwardly from the feature 240 by a predefined distance such as the optical diameter of the photolithographic system (boundary box 250 is not drawn to scale). In the example shown, the boundary box 250 encompasses 16 instances of the features A in the array 200. Any instance of a feature that lies wholly or partially within the boundary box 250 is separately analyzed. In the example shown, the LFD analysis of the array 200 can be completed by analyzing the 9 different instances of feature A plus the 16 instances that are within the boundary box 250 of the feature 240 for a total of 25 analyses that are performed. However, this is still an improvement from separately analyzing each of the 32 instances of feature A.



FIG. 4G illustrates an example where a feature 254 is adjacent the array 200. In this example, a boundary box 256 is drawn extending outwardly from the feature 254 and wholly or partially encompasses five instances of feature A from the array 200. In this example, the analysis of the replicated features can be performed with 8 instances of features that are not within the boundary box 256 surrounding the feature 254 plus the analyses of the 5 features that are within the boundary box 256 for a total of 13 analyses that are to be performed.


In the example shown, it is assumed that each feature A is larger than some predetermined size such as being as large as, or larger than, the optical diameter of the photolithographic printing system. In one embodiment, if the replicated features have a size that is smaller than the predetermined size, the features may be combined to have a size larger than the predetermined size.


Returning now to FIG. 3A, the features that remain in the target layout are fragmented into a number of edge fragments at 136. Typically the features in the layout database are stored as a sequence of vertices that define the borders of polygons. Fragmentation is performed by adding additional vertices or fragmentation endpoints so that the size of the individual edge fragments that extend around the perimeters of the polygons is reduced. Each edge fragment is typically associated with a simulation site at which various printing parameters are determined.


At 140, each remaining edge fragment in the target layout is analyzed. At 142 it is determined if an edge fragment is part of a large feature i.e. a feature having a length or width (or both) that is greater than some defined minimum. Those edge fragments that are part of a large feature are marked as “large” features. What constitutes a large feature may be process defined or user selected. If the answer to 142 is yes, it is determined if the edge fragment is at a corner of a feature or is adjacent to a corner of the feature at 144. If the edge fragment is not next to a corner or at a corner, is determined whether the edge fragment is within a predetermined distance another edge fragment at 146. If the answer to 146 is no, then the edge fragment is removed from the target layout.


If an edge fragment is not part of a large feature, is at or adjacent to a corner of a feature or is within a predetermined distance of another edge fragment, the edge fragment is left in the revised target layout along with any edge fragments that are within an optical diameter of the edge fragment. FIG. 5 illustrates an edge fragment 260 defined between fragmentation endpoints 262, 264 that is included in the revised target layout. In addition, edge fragments 268, 270 and 272 are also included in the revised target layout because these edge fragments are within an optical diameter 276 of the edge fragment 260.


Again returning to FIG. 3B, it is determined if all edge fragments have been analyzed at 160. If not, processing returns to 130 until each edge fragment has been analyzed. At 170, those edge fragments that remain in the target layout are subjected to an optical and process correction (OPC) analysis in order to adjust the position of the edge fragments and improve the fidelity with which they will print on a wafer. In one embodiment, the optical and process correction uses a pre-bias optical and process correction technique as described in U.S. patent application Ser. No. 11/673,515, filed Feb. 9, 2007, which is herein incorporated by reference. The pre-bias OPC technique produces an OPC solution for each edge fragment that is close to that obtained with a more rigorous OPC analysis but takes less time to compute. However, if time and processing power permit, a more rigorous OPC analysis can be performed.


After the OPC analysis, the position of where the edge fragments will print on the wafer is determined. In one embodiment, the edge fragments are analyzed with an optical rule checking (ORC) tool to compute the edge's edge placement error (EPE) i.e. the difference between where the edge fragment will actually print on a wafer versus its intended printing position. In addition, a determination is made of how sensitive the EPE is to variations in certain process conditions such as variations dose and focus of the photolithographic printing system. In one embodiment, the sensitivity can be computed by determining EPE at two or more process variations which include but are not limited to dose, defocus and mask bias and then measuring the difference (ΔEPE) between EPEmax and EPEmin.


Other process variations may include variations in illumination pattern or polarization or MEEF (mask error enhancement factor). Edge fragments are deemed not sensitive if they exhibit a ΔEPE with a value smaller than that specified by the user (typically set by the acceptable variation allowed for devices and wires) and also meet a constraint in which the absolute value of both EPEmax and EPEmin is smaller than user defined EPE threshold reflecting the maximum allowable pattern deviation with respect to the target features. Edge segments that have a small EPE and are insensitive to process variations can be removed from the layout. Those edge segments with a large EPE or that are sensitive to process variations remain in the target layout.


Those sensitive edge fragments that remain in the target layout form the revised target layout that is analyzed with an LFD analysis tool. One example of an LFD analysis tool is described in U.S. Patent Publication 2005/025177A1, assigned to Mentor Graphics Corporation and which is herein incorporated by reference. However, other analysis tools could be used.


If the LFD analysis indicates that an edge fragment will not print as desired, the edge fragment can be marked and included in an error list that is given to the circuit designer at 176 to correct the target layout and/or to vary the process condition parameters.


In view of the many possible embodiments to which the principles of the disclosed invention may be applied, it should be recognized that the illustrated embodiments are only preferred examples of the invention and should not be taken as limiting the scope of the invention. For example, although the disclosed embodiments remove features from the original target layout data to create the revised target layout data prior to performing an LFD or other analysis, it is also possible to mark or tag features and ignore any such tagged or marked feature during the analysis. Conversely, the analysis of the revised layout data could include only features from the original target layout that are tagged or marked. In yet another embodiment, edge fragments are not removed from the target layout but simulation sites for edge fragments are removed so that no analysis by a photolithographic tool takes place for those edge fragments. Therefore, the removal of a feature from a target layout includes both physical removal of the description of a feature or group of features from the target layout and removal from consideration of the features by an analysis tool. Furthermore the disclosed technology is not limited to only applying an LFD analysis on the revised layout. The disclosed technology can also be used to create a revised layout prior to applying other tools such as OPC, ORC or any other photolithographic analysis that takes significant computer time to run.


Therefore, the scope of the invention is defined by the following claims and equivalents thereof.

Claims
  • 1. A method, comprising: with a computer, removing features in a target layout that have been determined to print correctly, producing updated target layout data;analyzing the updated target layout data to determine features of the updated target layout data that are likely to print incorrectly when printed using a photolithographic process; andstoring an error list indicating which features of the updated target layout data were determined to be likely to print incorrectly.
  • 2. The method of claim 1, wherein the removed features comprise edge fragments.
  • 3. The method of claim 1, further comprising performing model-based analysis on the updated target layout data.
  • 4. The method of claim 1, wherein the error list comprises marked edge fragments.
  • 5. The method of claim 1, further comprising correcting the target layout so that the features determined to be likely to print incorrectly will print correctly when printed using the photolithographic process.
  • 6. The method of claim 1, further comprising varying process condition parameters of the photolithographic process so that the features determined to be likely to print incorrectly will print correctly.
  • 7. The method of claim 1, wherein the analyzing comprises using a pre-bias optical and process correction technique.
  • 8. The method of claim 1, further comprising manufacturing a photolithographic mask, photolithographic reticle, or integrated circuit using a layout data modified based on the stored error list.
  • 9. One or more computer-readable storage devices or memory storing computer-readable instructions that when executed by a computer, cause the computer to perform a method, the method comprising: removing features in a target layout that have been determined to print correctly, producing updated target layout data;analyzing the updated target layout data to determine features of the updated target layout data that are likely to print incorrectly when printed using a photolithographic process; andstoring an error list indicating which features of the updated target layout data were determined to be likely to print incorrectly.
  • 10. The computer-readable storage devices or memory of claim 9, wherein the removed features comprise edge fragments.
  • 11. The computer-readable storage devices or memory of claim 9, wherein said method further comprises performing model-based analysis on the updated target layout data.
  • 12. The computer-readable storage devices or memory of claim 9, wherein the error list comprises marked edge fragments.
  • 13. The computer-readable storage devices or memory of claim 9, wherein said method further comprises correcting the target layout so that the features determined to be likely to print incorrectly will print correctly when printed using the photolithographic process.
  • 14. The computer-readable storage devices or memory of claim 9, wherein said method further comprises varying process condition parameters of the photolithographic process so that the features determined to be likely to print incorrectly will print correctly.
  • 15. The computer-readable storage devices or memory of claim 9, wherein the analyzing comprises using a pre-bias optical and process correction technique.
  • 16. A computer system comprising one or more processors configured to execute the computer-readable instructions stored in the computer-readable storage devices or memory of claim 9.
  • 17. One or more computer-readable storage devices or memory storing computer-readable instructions that when executed by a computer, cause the computer to perform a method, the method comprising: removing features in a target layout that have been determined to print correctly using photolithographic friendly design (LFD) analysis, producing updated target layout data;analyzing the updated target layout data to determine features of the updated target layout data that are likely to print incorrectly when printed using a photolithographic process; andstoring an error list indicating which features of the updated target layout data were determined to be likely to print incorrectly.
  • 18. The computer-readable storage devices or memory of claim 17, wherein the analyzing the updated target layout data comprises using LFD analysis.
  • 19. The computer-readable storage devices or memory of claim 17, wherein the removing features comprises using the LFD analysis for one feature and removing other duplicates of the one feature from the target layout.
  • 20. The computer-readable storage devices or memory of claim 17, wherein the error list indicates at least one edge fragment.
CROSS REFERENCE TO RELATED APPLICATION

This is a divisional of U.S. patent application Ser. No. 13/290,891, entitled “ANALYSIS OPTIMIZER,” filed Nov. 7, 2011 (now U.S. Pat. No. 8,504,959), which is a continuation of U.S. patent application Ser. No. 11/937,423, entitled “ANALYSIS OPTIMIZER,” filed Nov. 8, 2007 (now U.S. Pat. No. 8,056,022), which claims the benefit of priority under 35 U.S.C. §119 to prior U.S. Provisional Application No. 60/857,958, entitled “ANALYSIS OPTIMIZER,” filed on Nov. 9, 2006, all of which are incorporated herein by reference in their entirety.

US Referenced Citations (128)
Number Name Date Kind
4532650 Wihl et al. Jul 1985 A
4762396 Dumant et al. Aug 1988 A
5396584 Lee et al. Mar 1995 A
5502654 Sawahata Mar 1996 A
5558963 Tsudaka et al. Sep 1996 A
5655110 Krivokapic et al. Aug 1997 A
5723233 Garza et al. Mar 1998 A
5723235 Tsudaka et al. Mar 1998 A
5815685 Kamon Sep 1998 A
5825647 Tsudaka Oct 1998 A
5879844 Yamamoto et al. Mar 1999 A
5991006 Tsudaka Nov 1999 A
6016357 Neary et al. Jan 2000 A
6033814 Burdorf et al. Mar 2000 A
6042257 Tsudaka Mar 2000 A
6049660 Ahn et al. Apr 2000 A
6077310 Yamamoto et al. Jun 2000 A
6080527 Huang et al. Jun 2000 A
6120952 Pierrat et al. Sep 2000 A
6128067 Hashimoto Oct 2000 A
6187483 Capodieci et al. Feb 2001 B1
6226781 Nistler et al. May 2001 B1
6238824 Futrell et al. May 2001 B1
6243855 Kobayashi et al. Jun 2001 B1
6249904 Cobb Jun 2001 B1
6263299 Aleshin et al. Jul 2001 B1
6269472 Garza et al. Jul 2001 B1
6301697 Cobb Oct 2001 B1
6317859 Papadopoulou Nov 2001 B1
6415421 Anderson et al. Jul 2002 B2
6425113 Anderson et al. Jul 2002 B1
6430737 Cobb et al. Aug 2002 B1
6453457 Pierrat et al. Sep 2002 B1
6467076 Cobb Oct 2002 B1
6470489 Chang et al. Oct 2002 B1
6487503 Inui Nov 2002 B2
6499003 Jones et al. Dec 2002 B2
6574784 Lippincott et al. Jun 2003 B1
6643616 Granik et al. Nov 2003 B1
6649309 Mukherjee Nov 2003 B2
6665856 Pierrat et al. Dec 2003 B1
6668367 Cobb et al. Dec 2003 B2
6718526 Eldredge et al. Apr 2004 B1
6748578 Cobb Jun 2004 B2
6778695 Schellenberg et al. Aug 2004 B1
6792590 Pierrat et al. Sep 2004 B1
6815129 Bjorkholm et al. Nov 2004 B1
6817003 Lippincott et al. Nov 2004 B2
6857109 Lippincott Feb 2005 B2
6862726 Futatsuya et al. Mar 2005 B2
6887633 Tang May 2005 B2
6928634 Granik et al. Aug 2005 B2
6973633 Lippincott et al. Dec 2005 B2
6989229 Lucas et al. Jan 2006 B2
7010776 Gallatin et al. Mar 2006 B2
7013439 Robles et al. Mar 2006 B2
7017141 Anderson et al. Mar 2006 B2
7024655 Cobb Apr 2006 B2
7028284 Cobb et al. Apr 2006 B2
7047516 Futatsuya May 2006 B2
7073162 Cobb et al. Jul 2006 B2
7155689 Pierrat et al. Dec 2006 B2
7155699 Cobb Dec 2006 B2
7172838 Maurer et al. Feb 2007 B2
7181721 Lippincott et al. Feb 2007 B2
7194725 Lukanc et al. Mar 2007 B1
7237221 Granik et al. Jun 2007 B2
7240305 Lippincott Jul 2007 B2
7240321 Cobb et al. Jul 2007 B2
7281234 Lippincott Oct 2007 B2
7293249 Robles et al. Nov 2007 B2
7324930 Cobb Jan 2008 B2
7367009 Cobb et al. Apr 2008 B2
7378202 Granik et al. May 2008 B2
7392168 Granik et al. Jun 2008 B2
7418124 Peterson Aug 2008 B2
7487490 Zhang et al. Feb 2009 B2
7506301 Kotani et al. Mar 2009 B2
7523429 Kroyan Apr 2009 B2
7739650 Torres Robles et al. Jun 2010 B2
8056022 Robles et al. Nov 2011 B2
8504959 Robles et al. Aug 2013 B2
8555212 Sawicki et al. Oct 2013 B2
20010018759 Andreev et al. Aug 2001 A1
20010049811 Taoka Dec 2001 A1
20020026626 Randal et al. Feb 2002 A1
20020094680 Lin Jul 2002 A1
20030037309 Utsunomiya Feb 2003 A1
20030134205 Yu Jul 2003 A1
20030208728 Pierrat Nov 2003 A1
20040064797 Li Apr 2004 A1
20040128118 Croffie et al. Jul 2004 A1
20040268282 Rittman et al. Dec 2004 A1
20050015740 Sawicki et al. Jan 2005 A1
20050022150 Liu et al. Jan 2005 A1
20050050490 Futatsuya et al. Mar 2005 A1
20050149901 Tang Jul 2005 A1
20050177810 Heng et al. Aug 2005 A1
20050229125 Tabery et al. Oct 2005 A1
20050234684 Sawicki et al. Oct 2005 A1
20050251771 Robles Nov 2005 A1
20050278686 Word et al. Dec 2005 A1
20060005154 Cobb et al. Jan 2006 A1
20060062445 Verma et al. Mar 2006 A1
20060069958 Sawicki et al. Mar 2006 A1
20060074611 Wong et al. Apr 2006 A1
20060090148 Zhu et al. Apr 2006 A1
20060188796 Word Aug 2006 A1
20060190875 Arisawa et al. Aug 2006 A1
20060199084 Word Sep 2006 A1
20060200790 Shang et al. Sep 2006 A1
20060240342 Tang Oct 2006 A1
20070006118 Pierrat et al. Jan 2007 A1
20070074143 Cobb et al. Mar 2007 A1
20070118826 Lippincott May 2007 A1
20070124708 Robles et al. May 2007 A1
20070204242 Brunet et al. Aug 2007 A1
20070204256 Brunet et al. Aug 2007 A1
20070240086 Sinha Oct 2007 A1
20080141195 Robles et al. Jun 2008 A1
20080148217 Park Jun 2008 A1
20080166639 Park et al. Jul 2008 A1
20080195996 Robles et al. Aug 2008 A1
20080256500 Cobb et al. Oct 2008 A1
20090132980 Sinha May 2009 A1
20090178018 Torres Robles et al. Jul 2009 A1
20090186429 Kotani et al. Jul 2009 A1
20140040850 Sawicki et al. Feb 2014 A1
Foreign Referenced Citations (10)
Number Date Country
04344979 Dec 1992 JP
07-175204 Jul 1995 JP
09-319067 Dec 1997 JP
2001-350250 Dec 2001 JP
2002-131882 May 2002 JP
2004-502961 Jan 2004 JP
WO 9914637 Mar 1999 WO
WO 9914638 Mar 1999 WO
WO 0165315 Jul 2001 WO
WO 2005111874 Nov 2005 WO
Non-Patent Literature Citations (70)
Entry
Adam et al., “Improved Modeling Performance with an Adapted Vectorial Formulation of the Hopkins imaging Equation,” Proceedings of SPIE: Optical Microlithography XVI, vol. 5040, pp. 78-91 (Feb. 25, 2003).
Bailey et al., “Intensive 2D SEM Model Calibration for 45nm and Beyond,” Proceedings of SPIE, vol. 6154, 10 pp. (Feb. 21, 2006).
Brist et al., “Illumination Optimization Effects on OPC and MDP,” Proceedings of SPIE, vol. 5754, pp. 1179-1189 (Mar. 1, 2005).
Brist et al., “Source Polarization and OPC Effects on Illumination Optimization,” Proceedings of SPIE, 25th Annual BACUS Symposium on Photomask Technology, vol. 5992, pp. 599232-1/9 (Oct. 3, 2005).
Cao et al., “Standard Cell Characterization Considering Lithography Induced Variations,” Design Automation Conference, 43rd ACM/IEEE, pp. 801-804 (Jul. 24-28, 2006).
Chen et al., “An Automated and Fast OPC Algorithm for OPC-Aware Layout Design,” Int'l Symp. on Quality Electronic Design, pp. 782-787 (Mar. 26-28, 2007).
Cobb, “Flexible Sparse and Dense OPC Algorithms,” Proceedings of SPIE, Photomask and Next-Generation Lithography Mask Technology XII, vol. 5853, pp. 693-702 (Apr. 13, 2005).
Cobb et al., “Model-Based OPC Using the MEEF Matrix,” Proceedings of SPIE, 22nd Annual BACUS Symposium on Photomask Technology, vol. 4889, 10 pp. (Sep. 30-Oct. 4, 2002).
Cobb et al., “Large Area Phase-Shift Mask Design,” Proceedings of SPIE, Symposium on Optical/Laser Microlithography VII, vol. 2197, pp. 348-360 (Mar. 2-4, 1994).
Cobb et al., “Using OPC to Optimize for Image Slope and Improve Process Window,” Proceeding of SPIE, Photomask Japan, vol. 5130, pp. 838-846 (Apr. 16-18, 2003).
Cobb et al., “OPC Methods to Improve Image Slope and Process Window,” Proceedings of SPIE: Design and Process Integration for Microelectronic Manufacturing, vol. 5042, pp. 116-125 (Feb. 27, 2003).
Cobb et al., “Experimental Results on Optical Proximity Correction With Variable Threshold Resist Model,” Proceedings of SPIE: Symposium on Optical Microlithography X, vol. 3051, pp. 458-468 (Mar. 10-14, 1997).
Cobb et al., “Fast Sparse Aerial Image Calculation for OPC,” Proceedings of SPIE: 15th Annual BACUS Symposium on Photomask Technology and Management, vol. 2621, pp. 534-545 (Sep. 20-22, 1995).
Cobb et al., “Fast, Low-Complexity Mask Design,” Proceedings of SPIE: Symposium on Optical/Laser Microlithography VIII, vol. 2440, pp. 313-327 (Feb. 22-24, 1995).
Cobb et al., “Mathematical and CAD Framework for Proximity Correction,” Proceedings of SPIE: Symposium on Optical Microlithography IX, vol. 2726, pp. 208-222 (Mar. 13-15, 1996).
Cobb et al., “New Concepts in OPC,” Proceedings of SPIE: Optical Microlithography XVII, vol. 5377, pp. 680-690 (Feb. 24, 2004).
Dammel, “Photoresist for microlithography, or the Red Queen's race,” J. Microlithogr. Microfabrication Microsyst., vol. 1, pp. 270-275 (Oct. 2002).
Drapeau et al., “Double patterning design split implementation and validation for the 32nm node,” Proc. SPIE, vol. 6521, pp. 652109-1 through 652109-15 (2007).
Granik, “Generalized MEEF Theory,” Interface 2001, 13 pp. (Nov. 2001).
Granik, “New Process Models for OPC at sub-90nm Nodes,” Proceedings of SPIE: Optical Microlithography XVI, vol. 5040, pp. 1166-1175 (Feb. 25, 2003).
Granik, “Solving Inverse Problems of Optical Microlithography,” Proceedings of SPIE: Optical Microlithography XVIII, vol. 5754, pp. 506-526 (Mar. 1, 2005).
Granik et al., “MEEF as a Matrix,” Proceedings of SPIE: 21st Annual BACUS Symposium on Photomask Technology, vol. 4562, pp. 980-991 (Oct. 2-5, 2001).
Granik et al., “Two-Dimensional G-MEEF Theory and Applications,” Proceedings of SPIE: Symposium on Photomask and Next-Generation Lithography Mask Technology IX, vol. 4754, pp. 146-155 (Apr. 23-25, 2002).
Granik et al., “Universal process modeling with VTRE for OPC,” Proceedings of SPIE: Optical Microlithography XV, vol. 4691, pp. 377-394 (Mar. 5, 2002).
Gupta et al., “Manufacturing-Aware Physical Design,” Computer Aided Design, pp. 681-687 (Nov. 9-13, 2003).
Hajj, Intel's AMT enables rapid processing and info-turn for Intel's DFM test chip vehicle, Proc. SPIE, vol. 6730, pp. 67300Q-1 through 67300Q-13 (2007).
Hong et al., “Impact of Process Variance on 65 nm Across-Chip Linewidth Variation,” Proceedings of SPIE: Design and Process Integration for Microelectronic Manufacturing IV, vol. 6156, pp. 61560Q1-9 (Feb. 23, 2006).
Hoppe et al., “Beyond rule-based physical verification,” Proc. SPIE, vol. 6349, pp. 63494X.-1 through 63494X-9 (2006).
Li et al., “Transferring Optical Proximity Correction (OPC) Effect into Optical Mode,” Int'l Symp. on Quality Electronic Design, pp. 771-775 (Mar. 26-28, 2007).
Liebmann, “Layout Impact of Resolution Enhancement Techniques: Impediment or Opportunity?” Proceedings of the 2003 International Symposium on Physical Design, pp. 110-117 (Apr. 6-9, 2003).
Lucas et al., “Reticle Enhancement Verification for 65 nm and 45 nm Nodes,” Proceedings of SPIE: Design and Process Integration for Microelectronic Manufacturing IV, vol. 6156, pp. 61560Q1-9 (Feb. 23, 2006).
Luk-Pat et al., “Correcting Lithography Hot Spots during Physical-Design Implementation,” Proceedings of SPIE: Photomask Technology 2006, vol. 6349, p. 634920-1 through 634920-9 (Sep. 19, 2006).
Maurer et al., “Evaluation of a Fast and Flexible OPC Package: OPTISSIMO,” Proceedings of SPIE: 16th Annual Symposium on Photomask Technology and Management, vol. 2884, pp. 412-418 (Sep. 18-20, 1996).
Maurer et al., “Process Proximity Correction Using an Automated Software Tool,” Proceedings of SPIE: Optical Microlithography XI, vol. 3334, pp. 245-253 (Feb. 22-27, 1998).
Mentor Graphics Corporation, News and Views, “DSM Verification and Analysis,” including a partial translation, 7 pp. (document marked Mar. 1999).
Mentor Graphics Corporation, News and Views, “OPC,” including a partial translation, 11 pp. (document marked Mar. 1999).
Mentor Graphics Corporation, News and Views, “Calibre,” including a partial translation, 9 pp. (document marked Apr. 2000).
Ohnuma et al., “Lithography Computer Aided Design Technology for Embedded Memory in Logic,” Japanese Journal of Applied Physics, vol. 37(12B), pp. 6686-6688 (Dec. 1998).
Pack et al., “Physical and Timing Verification of Subwavelength-Scale Designs—Part I: Lithography Impact on MOSFETs,” Proceedings of SPIE: Design and Process Integration for Microelectronic Manufacturing, vol. 5042, pp. 51-62 (Feb. 27, 2003).
Pan, “Lithography-Aware Physical Design,” ASIC, pp. 35-36 (Oct. 24-27, 2005).
Perry et al., “Model-based approach for design verification and co-optimization of catastrophic and parametric-related defects due to systematic manufacturing variations,” Proc. SPIE, vol. 6521, pp. 65210E-1 through 65210E-10 (2007).
Philipsen et al., “Printability of Hard and Soft Defects in 193-nm Lithography,” Proceedings of SPIE: 18th European Conference on Mask Technology for Integrated Circuits and Microcomponents, vol. 4764, pp. 95-112 (Jan. 15, 2002).
Pikus et al., “Non-uniform Yield Optimization for Integrated Circuit Layout,” Proceedings of SPIE: Photomask Technology, vol. 6730, pp. 67300Y-1 through 67300Y-12 (Sep. 18, 2007).
Rieger et al., “Anticipating and Controlling Mask Costs within EDA Physical Design,” Proceedings of SPIE: Photomask and Next-Generation Lithography Mask Technology X, vol. 5130, pp. 617-627 (Apr. 16, 2003).
Schacht et al., “Calibration of OPC Models for Multiple Focus Conditions,” Proceedings of SPIE: Optical Microlithography XVII, vol. 5377, pp. 691-703 (Feb. 24, 2004).
Scheffer, “Physical CAD Changes to Incorporate Design for Lithography and Manufacturability,” Proceedings of the 2004 Conference on Asia South Pacific Design Automation, pp. 768-773 (Jan. 27-30, 2004).
Schellenberg, “Sub-Wavelength Lithography Using OPC,” Semiconductor Fabtech, 9th ed., pp. 205-209 (Mar. 1999).
Sturtevant et al., “Assessing the Impact of Real World Manufacturing Lithography Variations on Post-OPC CD Control,” Proceedings of SPIE: Design and Process Integration for Microelectronic Manufacturing III, vol. 5756, pp. 240-254 (Mar. 4, 2005).
Sturtevant et al., “Considerations for the Use of Defocus Models for OPC,” Proceedings of SPIE: Design and Process Integration for Microelectronic Manufacturing III, vol. 5756, pp. 427-436 (Mar. 4, 2005).
Tawfic et al., “Feedback Flow to Improve Model-Based OPC Calibration Test Pattern,” Proceedings of SPIE: Design for Manufacturability through Design-Process Integration, vol. 6521, pp. 65211J-1 through 65211J-9 (Feb. 28, 2007).
Torres et al., “Contrast-Based Assist Feature Optimization,” Proceedings of SPIE: Optical Microlithography XV, vol. 4691, pp. 179-187 (Mar. 5, 2002).
Torres et al., “Design Verification Flow for Model-Assisted Double Dipole Decomposition,” Proceedings of SPIE: Optical Microlithography XV, vol. 4691, pp. 585-592 (Mar. 5, 2002).
Torres, “Fast LFD: Description, Availability and Considerations,” Mentor User's Meeting at SPIE Advanced Lithography, 27 pp. (Feb. 26, 2007).
Torres et al., “Integrated Circuit DFM Framework for Deep Sub-Wavelength Processes,” Proceedings of SPIE: Design and Process Integration for Microelectronic Manufacturing III, vol. 5756, pp. 39-50 (Mar. 4, 2005).
Torres, “Integrated Circuit Layout Design Methodology for Deep Sub-Wavelength Processes,” Ph.D. Thesis, Oregon Health and Science University, 68 pp. (Mar. 2005).
Torres et al., “Layout verification in the era of process uncertainty: Requirements for Speed, Accuracy and Process Portability,” BACUS Photomask, 20 pp. (Sep. 20, 2007).
Torres et al., “Layout verification in the era of process uncertainty: Requirements for Speed, Accuracy, and Process Portability,” Proc. SPIE, vol. 6730, pp. 67300U-1 through 67300U-9 (published online Oct. 30, 2007).
Torres, “Layout verification in the era of process uncertainty: Target Process Variability Bands Vs Actual Process Variability Bands,” Proc. SPIE, vol. 6925, pp. 692509-1 through 692509-8 (published online Mar. 4, 2008).
Torres et al., “Model Assisted Double Dipole Decomposition,” Proceedings of SPIE: Optical Microlithography XV, vol. 4691, pp. 407-417 (Mar. 5, 2002).
Torres et al., “Process Window Modeling Using Compact Models,” Proceedings of SPIE: 24th Annual BACUS Symposium on Photomask Technology, vol. 5567, pp. 638-648 (Sep. 14, 2004).
Torres et al. “RET-Compliant Cell Generation for Sub-130 nm Processes,” Proceedings of SPIE: Optical Microlithography XV, vol. 4691, pp. 529-539 (Mar. 5, 2002).
Torres, “Towards Manufacturability Closure: Process Variations and Layout Design,” Electronic Design Process Symposium, 7 pp. (Apr. 7-8, 2005).
Torres et al., “Unified Process Aware System for Circuit Layout Verification,” Proceedings of SPIE: Design for Manufacturability through Design-Process Integration, vol. 6521, pp. 652108-1 through 652108-12 (Feb. 28, 2007).
Tsai et al., “Modeling Litho-Constrained Design Layout,” Design Automation Conference, pp. 354-357 (Jun. 4-8, 2007).
Vasek et al., “SEM-Contour Based Mask Modeling,” Proceedings of SPIE: Optical Lithography XXI, vol. 6924, pp. 69244Q-1 through 69244Q-11 (Feb. 26, 2008).
Vasek et al., “SEM-contour-based OPC Model Calibration through the Process Window,” Proceedings of SPIE: Metrology, Inspection, and Process Control for Microlithography XXI, pp. 65180D-1 through 65180D-10 (Feb. 26, 2007).
Word et al. “Advanced Layout Fragmentation and Simulation Schemes for Model Based OPC,” Proceedings of SPIE: Optical Microlithography XVIII, vol. 5754, pp. 1159-1168 (Mar. 1, 2005).
Word et al., “Lithography Yield Enhancement through Optical Rule Checking,” Proceedings of SPIE: Advanced Microlithography Technologies, vol. 5645, pp. 142-153 (Nov. 8, 2004).
Yehia et al.,“Simultaneous Model-Based Main Feature and SRAF Optimization for 2D SRAF Implementation to 32 nm Critical Layers,” Proceedings of SPIE: Photomask Technology, vol. 6730, pp. 67302K-1 through 67302K-10 (Sep. 18, 2007).
Yenikaya et al., “A rigorous method to determine printability of a target layout,” Proc. SPIE, vol. 6521, pp. 652112-1 through 652112-12 (2007).
Related Publications (1)
Number Date Country
20130305195 A1 Nov 2013 US
Provisional Applications (1)
Number Date Country
60857958 Nov 2006 US
Divisions (1)
Number Date Country
Parent 13290891 Nov 2011 US
Child 13947839 US
Continuations (1)
Number Date Country
Parent 11937423 Nov 2007 US
Child 13290891 US