Cable power loss determination for virtual metrology

Information

  • Patent Grant
  • 9594105
  • Patent Number
    9,594,105
  • Date Filed
    Friday, January 10, 2014
    10 years ago
  • Date Issued
    Tuesday, March 14, 2017
    7 years ago
Abstract
A method for modeling cable loss is described. The method includes receiving a measurement of reverse power and forward power at a radio frequency (RF) generator. The method further includes computing theoretical power delivered to a matching network as a difference between the forward power and the reverse power and calculating a ratio of the reverse power to the forward power to generate an RF power reflection ratio. The method further includes identifying a cable power attenuation fraction based on a frequency of the RF generator and calculating a cable power loss as a function of the RF power reflection ratio, the cable power attenuation fraction, and the theoretical power. The method includes calculating actual power to be delivered to the impedance matching network based on the theoretical power and the cable power loss and sending the calculated actual power to the RF generator to generate an RF signal.
Description
FIELD

The present embodiments relate to determination of cable power loss for virtual metrology.


BACKGROUND

Plasma systems are used to supply power to a plasma chamber. The power is generated by an RF generator and is supplied by the RF generator via a matchbox to generate plasma within the plasma chamber.


Within the plasma chamber is a wafer, which is processed by the plasma. The wafer is etched, or deposited on, or cleaned with the plasma. During processing of the wafer, it is important to control the plasma to achieve accuracy in the processing and to increase wafer yield.


To measure properties of the power that is supplied and to measure properties of the plasma, a sensor is connected to an input of the matchbox. However, use of the sensor is costly, time consuming, and prone to errors.


It is in this context that embodiments described in the present disclosure arise.


SUMMARY

Embodiments of the disclosure provide apparatus, methods and computer programs for determining cable power loss using virtual metrology. It should be appreciated that the present embodiments can be implemented in numerous ways, e.g., a process, an apparatus, a system, a device, or a method on a computer-readable medium. Several embodiments are described below.


In some embodiments, instead of a sensor that is coupled to an input of an impedance matching network, a processor is used to determine actual delivered power at the input. A voltage and current probe measures a complex voltage and current at an output of a radio frequency (RF) generator. The complex voltage and current is used to calculate theoretical delivered power at the output of the RF generator. The actual delivered power at the input of the impedance matching network is determined as a function of the theoretical delivered power, a cable power attenuation fraction of an RF cable that connects the impedance matching network to the RF generator, and an RF power reflection ratio. The RF power reflection ratio is determined by the processor based on forward power that is measured at the output of the RF generator and reverse power that is measured at the output of the RF generator.


In various embodiments, a method for modeling cable loss is described. The method includes receiving a measurement of reverse power at a radio frequency (RF) generator, which is coupled via an RF cable to an impedance matching network. The impedance matching network is coupled via an RF transmission line to a plasma chamber. The RF generator has a frequency of operation. The method further includes receiving a measurement of forward power at the RF generator, computing theoretical power delivered to the impedance matching network as a difference between the forward power and the reverse power, and calculating a ratio of the reverse power to the forward power to generate an RF power reflection ratio. The method further includes identifying a cable power attenuation fraction of the RF cable based on the frequency of operation of the RF generator, and calculating a cable power loss as a function of the RF power reflection ratio, the cable power attenuation fraction, and the theoretical power. The method includes calculating actual power to be delivered to the impedance matching network based on the theoretical power and the cable power loss and sending the calculated actual power to the RF generator to generate an RF signal. The method is executed by a processor.


In several embodiments, a method includes receiving a measurement of reverse power at an RF generator, which is coupled via an RF cable to an impedance matching network. The impedance matching network coupled via an RF transmission line to a plasma chamber. The RF generator has a frequency of operation. The method further includes receiving a measurement of forward power at the RF generator, computing theoretical power delivered to the impedance matching network as a difference between the forward power and the reverse power, and calculating a ratio of the of the reverse power to the forward power to generate an RF power reflection ratio. The method includes identifying a cable power attenuation fraction of the RF cable based on the frequency of operation of the RF generator and calculating a cable power loss as a function of the RF power reflection ratio, the cable power attenuation fraction, and the theoretical delivered power. The method includes calculating actual power to be delivered to the impedance matching network based on the theoretical delivered power and the cable power loss, and determining an actual power at a node associated with a computer-generated model based on the actual power delivered to the impedance matching network. The method is executed by a processor.


In some embodiments, a plasma system includes a radio frequency (RF) generator for supplying forward power. The RF generator has a frequency of operation. The plasma system further includes an impedance matching circuit coupled to the RF generator for receiving the forward power and to generate a modified RF signal based on the forward power. The plasma system includes an RF cable coupling the RF generator to the impedance matching circuit to facilitate a transfer of the forward power to the impedance matching circuit, and a plasma chamber coupled to the impedance matching circuit via an RF transmission line. The plasma chamber is used for generating plasma based on the modified RF signal. The plasma system includes a sensor coupled to the RF generator to measure the forward power and to measure reverse power. The reverse power is reflected from the plasma towards the RF generator via the RF cable. The plasma system further includes a host system coupled to the sensor for receiving the measurement of the forward power and the reverse power. The host system is configured to compute theoretical power delivered to the impedance matching network as a difference between the forward power and the reverse power, calculate a ratio of the of the reverse power to the forward power to generate an RF power reflection ratio, and identify a cable power attenuation fraction of the RF cable based on the frequency of operation of the RF generator. The host system is further configured to calculate a cable power loss as a function of the RF power reflection ratio, the cable power attenuation fraction, and the theoretical delivered power. The host system is configured to calculate actual power to be delivered to the impedance matching circuit based on the theoretical delivered power and the cable power loss and send the calculated actual power to the RF generator to control the RF generator.


Some advantages of the above-described embodiments include using a cable power attenuation fraction of an RF cable, an RF power reflection ratio of an output of an RF generator, and theoretical delivered power at the output of the RF generator to determine actual delivered power at an input of an impedance matching network. There is no need to use a sensor at the input of the impedance matching network to measure actual delivered power at the input. Use of the sensor takes time to connect the sensor and to measure the actual delivered power at the input. Moreover, the sensor is costly and any measurements generated by the sensor are prone to errors.


Other aspects will become apparent from the following detailed description, taken in conjunction with the accompanying drawings.





BRIEF DESCRIPTION OF THE DRAWINGS

The embodiments may best be understood by reference to the following description taken in conjunction with the accompanying drawings.



FIG. 1 is a diagram of a plasma system for cable power loss determination, in accordance with some embodiments described in the present disclosure.



FIG. 2A is a diagram of a cable model, which is a computer-generated model of a radio frequency (RF) cable of the plasma system of FIG. 1, in accordance with various embodiments described in the present disclosure.



FIG. 2B is a diagram of another cable model, in accordance with several embodiments described in the present disclosure.



FIG. 3 is a diagram used to illustrate that a cable power attenuation fraction associated with an attenuation of power by an RF cable is dependent upon a length of the RF cable and a frequency of operation of an RF generator that is connected to the RF cable, in accordance with some embodiments described in the present disclosure.



FIG. 4 is an embodiment of a graph to illustrate a relationship between a cable power attenuation fraction of an RF cable and a frequency of an RF generator that is connected to the RF cable to provide an RF signal via the RF cable, in accordance with various embodiments described in the present disclosure.



FIG. 5 shows embodiments of graphs to illustrate a relationship between an error between a value of a variable that is generated using a modeled value of the variable without use of an equation and a measured value of the variable, in accordance with several embodiments described in the present disclosure.



FIG. 6 shows embodiments of graphs to illustrate a reduction in an error in a variable when the equation is used to determine a cable power loss, in accordance with some embodiments described in the present disclosure.



FIG. 7 is a block diagram of an embodiment of a memory device that is used to illustrate use of actual delivered power at an output of an RF cable model to calculate an actual power at an output of a model node of another part of the plasma system, in accordance with various embodiments described in the present disclosure.



FIG. 8 is a diagram of a host system, in accordance with several embodiments described in the present disclosure.





DETAILED DESCRIPTION

The following embodiments describe systems and methods for cable power loss determination using virtual metrology. It will be apparent that the present embodiments may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present embodiments.



FIG. 1 is a diagram of an embodiment of a plasma system 100 for cable power loss determination. The plasma system 100 includes an x megahertz (MHz) radio frequency (RF) generator, a y MHz RF generator, and a z MHz RF generator. Examples of x MHz include 2 MHz, 27 MHz, and 60 MHz. Examples of y MHz include 2 MHz, 27 MHz, and 60 MHz. Examples of z MHz include 2 MHz, 27 MHz, and 60 MHz.


In some embodiments, the x MHz is different than y MHz and z MHz, and y MHz is different than z MHz. For example, when x MHz is 2 MHz, y MHz is 27 MHz and z MHz is 60 MHz.


In some embodiments, each RF generators includes a digital signal processor (DSP) and an RF supply. For example, the x MHz RF generator includes a DSP 160 and an RF supply 162. The DSP 160 is coupled to the RF supply 162 and to a host system 118. Similarly, the host system 118 is coupled to a DSP (not shown) of the y MHz RF generator and to a DSP (not shown) of the z MHz RF generator. A DSP of an RF generator is coupled to an RF supply of the RF generator.


Each RF generator includes a complex voltage and current sensor. For example, the x MHz RF generator includes a sensor 116 that is coupled to an RF cable 104A at an output 170 of the x MHz RF generator to measure a complex voltage and current at the output. In various embodiments, a complex voltage and current sensor that is used to calibrate the x, y, or z MHz RF generator at an output of the RF generator follows a National Institute of Standards and Technology (NIST) standard. For example, the sensor 116 used to calibrate the x MHz RF generator is NIST traceable. The NIST standard provides a degree of accuracy specified by the NIST standard to a complex voltage and current sensor.


In some embodiments, a complex voltage and current includes a magnitude of the current, a magnitude of the voltage, and a phase between the current and the voltage. In some embodiments, a complex variable, e.g., a complex impedance, complex power, etc., includes a magnitude of the variable and a phase of the variable.


In some embodiments, the sensor 116 measures reverse power Prev of the x MHz RF generator and forward power Pfwd of the x MHz RF generator. For example, the sensor 116 measures the reverse power Prev of the x MHz RF generator at the output 170 of the x MHz RF generator and measures the forward power Pfwd of the x MHz RF generator at the output 170. The forward power of an RF generator is power of an RF signal supplied by the RF generator to an impedance matching network 102. The reverse power of an RF generator is power reflected from the plasma chamber 166 via an RF transmission line 114, the impedance matching network 102, and a corresponding RF cable to the RF generator. For example, the reverse power of the x MHz RF generator is power reflected by plasma formed within the plasma chamber 166 via the RF transmission line 114, the impedance matching network 114, and the RF cable 104A to the x MHz RF generator.


In some embodiments, reverse power is complex power and forward power is complex power.


In several embodiments, the impedance matching network 102 is a circuit of one or more inductors and/or one or more capacitors. Each component, e.g., inductor, capacitor, etc., of the impedance matching network 102 is connected in series, or in parallel, or acts as a shunt, to another component of the impedance matching network 102.


In various embodiments, an RF cable includes an inner conductor that is surrounded by an insulation material, which is surrounded by an outer conductor, which is further surrounded by a jacket. In several embodiments, the outer conductor is made of braided wire and the jacket is made of an insulator material. In some embodiments, an RF cable has an internal impedance. In various embodiments, an RF cable has a characteristic impedance, which is a function of an inductance and/or a capacitance of the RF cable.


The host system 118 includes one or more processors, e.g., a processor 110, etc., and one or more memory devices. Examples of a processor include a central processing unit (CPU), a microprocessor, an application specific integrated circuit (ASIC), and a programmable logic device (PLD), etc. Examples of a memory device include a read-only memory (ROM), a random access memory (RAM), or a combination thereof. Other examples of a memory device include a flash memory, a non-transitory computer-readable storage medium, a redundant array of storage disks (RAID), a hard disk, etc.


The plasma system 100 further includes the impedance matching network 102 that is coupled to the x, y, and z MHz RF generators. The impedance matching network 102 is coupled to the x MHz RF generator via the RF cable 104A, to the y MHz RF generator via another RF cable 104B, and to the z MHz RF generator via an RF cable 104C.


In some embodiments, the impedance matching network 102 includes a network of RF electrical circuit elements, e.g., capacitors, inductors, etc., coupled with each other.


The impedance matching network 102 is coupled to the plasma chamber 112 via the RF transmission line 114. In various embodiments, the RF transmission line 114 includes a cylinder, e.g., a tunnel, etc., that is connected to the impedance matching network 102. Within a hollow of the cylinder lies an insulator and an RF rod. The RF transmission line 114 further includes an RF spoon, e.g., an RF strap, etc., that is coupled at one end to the RF rod of the cylinder. The RF spoon is coupled at another end to an RF rod of a vertically placed cylinder and the RF rod is coupled to a chuck 164 of the plasma chamber 112.


The plasma chamber 112 includes the chuck 164, an upper electrode 166, and other parts (not shown), e.g., an upper dielectric ring surrounding the upper electrode 166, an upper electrode extension surrounding the upper dielectric ring, a lower dielectric ring surrounding a lower electrode of the chuck 164, a lower electrode extension surrounding the lower dielectric ring, an upper plasma exclusion zone (PEZ) ring, a lower PEZ ring, etc. The upper electrode 166 is located opposite to and facing the chuck 164. A work piece 168 is supported on an upper surface 171 of the chuck 164. Each of the lower electrode and the upper electrode 166 is made of a metal, e.g., aluminum, alloy of aluminum, copper, etc. The chuck 164 may be an electrostatic chuck (ESC) or a magnetic chuck. The upper electrode 166 is coupled to a reference voltage, e.g., a ground voltage, a zero voltage, a negative voltage, etc.


In some embodiments, the work piece 168 includes a semiconductor wafer. Various processes, e.g., chemical vapor deposition, cleaning, deposition, physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma-enhanced CVD (PECVD), metal CVD, a high-density plasma CVD (HDP-CVD) function, a photoresist strip function, a photoresist surface preparation, ultraviolet thermal processing (UVTP), sputtering, etching, ion implantation, resist stripping, etc., are performed on the work piece 168 during production. Integrated circuits, e.g., application specific integrated circuit (ASIC), programmable logic device (PLD), etc. are developed on the semiconductor wafer and the integrated circuits are used in a variety of electronic items, e.g., cell phones, tablets, smart phones, computers, laptops, networking equipment, etc. In various embodiments, the work piece 168 includes a substrate, one or more layers, e.g., oxide layers, etc., on top of the substrate, and integrated circuits on top of the substrate. In several embodiments, the work piece 168 includes a substrate, one or more layers, e.g., oxide layers, etc., on top of the substrate, and integrated circuits on top of the layers. In various embodiments, the work piece 168 includes a substrate and integrated circuits formed on top of the substrate.


In various embodiments, the upper electrode 166 includes one or more gas inlets, e.g., holes, etc., that are coupled to a central gas feed (not shown). The central gas feed receives one or more process gases from a gas reservoir (not shown). An example of a process gas includes an oxygen-containing gas, such as O2. Other examples of the process gas include a fluorine-containing gas, e.g., tetrafluoromethane (CF4), sulfur hexafluoride (SF6), hexafluoroethane (C2F6), etc.


The host system 118 sends control values, e.g., values of complex power, values of frequencies of operation, etc., to the x, y, and z MHz RF generators. For example, the processor 110 provides a value of complex power and a value of a frequency of operation to the DSP 160 of the x MHz RF generator.


The DSPs of the x, y, and z MHz RF generators receive the control values and generate supply values, e.g., values of complex power, values of frequencies of operation, etc., based on the control values to provide to the RF supplies of the RF generators. For example, the DSP 160 of the x MHz RF generator generates a supply value of complex power and supply value of a frequency of operation to provide to the RF supply 162 of the x MHz RF generator. In some embodiments, a supply value is the same as a control value. In various embodiments, a supply value is a drive value that is looked-up from a memory device of an RF generator by a DSP of the RF generator based on a control value.


The RF supplies of the x, y, and z RF generators generate an RF signal in response to receiving a supply value. For example, the RF supply 162 generates an RF supply signal upon receiving a drive complex power value and a drive operation frequency value from the DSP 160. Similarly, the y and z MHz RF generators generate RF signals.


The RF signals that are generated by the x, y, and z MHz RF generators are supplied via the RF cables that couple the generators to the impedance matching network 102. For example, an RF signal that is generated by the x MHz RF generator is supplied via the RF cable 104A to the impedance matching network 102.


Upon receiving the RF signals from the x, y, and z MHz RF generators, the impedance matching network 102 matches an impedance of a load coupled to the impedance matching network 102 with an impedance of a source coupled to the impedance matching network 102 to generate a modified RF signal. For example, the impedance matching network 102 matches an impedance of an RF transmission line 114 and a plasma chamber 112 with an impedance of the x MHz RF generator, the y MHz RF generator, the z MHz RF generator, the RF cable 104A, the RF cable 104B, and the RF cable 104C to generate the modified RF signal. As another example, the impedance matching network 102 matches an impedance of any components of the plasma system 100 coupled to the impedance matching network 102 as a load with an impedance of any components of the plasma system 100 coupled to the impedance matching network 102 as a source to generate the modified RF signal. Examples of components coupled to the impedance matching network 102 as a load include the RF transmission line 114, the plasma chamber 112, and any other components, such as, for example, a filter, etc., coupled to the impedance matching network 102 on a side of the impedance matching network 102 on which the plasma chamber 112 is located. Example of components coupled to the impedance matching network 102 as a source include the x, y, and z RF generators, the RF cables 104A, 104B, and 104C, and other components, e.g., a filter, etc., coupled to a side of the impedance matching network 102 on which the RF generators are located.


The modified signal is sent by the impedance matching network 102 via the RF transmission line 114 to the chuck 164. When the process gas is supplied between the upper electrode 166 and the chuck 164 and when the modified RF signal is supplied to the chuck 164, the process gas is ignited to generate plasma within the plasma chamber 112.


The reverse and forward powers Prev and Pfwd that are sensed by the sensor 116 are received by the processor 110 via a cable 172A, such as, for example, a cable that facilitates a parallel transfer of data, a cable that facilitates a serial transfer of data, or a Universal Serial Bus (USB) cable. Similarly, reverse and forward powers that are measured by the sensors of the y and z MHz RF generators are received via cables 172B and 172C by the processor 110.


The processor 110 computes theoretical power Pdeltheor, e.g., Pdelin, etc., delivered by the x MHz RF generator to the impedance matching network 102 as a function, e.g., a difference, etc., between the forward power Pfwd and the reverse power Prev. Similarly, the processor 110 calculates theoretical power delivered by the y and z MHz RF generators to the impedance matching network 102 as a function of forward power supplied by the y and z RF generators and reverse power reflected towards the y and z MHz RF generators from the plasma chamber 112.


In some embodiments, the sensor 116 measures the theoretical power Pdeltheor at the input 176 of the impedance matching network 102.


The processor 110 further calculates a ratio of the reverse power Prev to the forward power Pfwd to generate an RF power reflection ratio Γ2 and further computes a magnitude |Γ2| of the RF power reflection ratio. For example, the processor 110 performs a division of the reverse power Prev to the forward power Pfwd to generate an RF power reflection ratio Γ2. As another example, the RF power reflection ratio is provided as:

Γ2=Prev/Pfwd  (1)


Similarly, the processor 110 calculates RF power reflection ratios from forward and reverse powers received from the sensors of the y and z MHz RF generators.


Moreover, the processor 110 determines a cable power attenuation fraction A of the RF cable 104A based on the frequency of operation of the x MHz RF generator. For example, the processor 110 identifies the cable power attenuation fraction A of the RF cable 104A from a look-up table that includes a list of frequencies of operation of a number of RF generators within a memory device of the host system 118 by looking-up a corresponding frequency of operation of the x MHz RF generator. Based on the frequency of operation of the x MHz RF generator, the cable power attenuation fraction A is identified. Similarly, the processor 110 determines cable power attenuation fractions of the RF cables 104B and 104C.


The processor 110 further calculates a cable power loss Pdelloss associated with, e.g., incurred by, etc., the RF cable 104A as a function of the RF power reflection ratio Γ2 and the cable power attenuation fraction A. For example, the cable power loss Pdelloss is provided as:










P
delloss

=

(


P
deltheor



A


(


1
+

Γ
2



1
-

Γ
2



)



)





(
2
)








The cable power loss Pdelloss is a product of the theoretical power Pdeltheor, the cable power attenuation fraction A, and a term. The term includes a ratio of a sum of one and the RF power reflection ratio Γ2 and a difference of one and the RF power reflection ratio Γ2. In some embodiments, the cable power loss Pdelloss is loss of delivered power occurring within the RF cable 104A. Similarly, the processor 110 computes cable power losses associated with the RF cables 104B and 104C.


The processor 110 calculates actual power Pdelactual to be delivered to the impedance matching network 102 by the x MHz RF generator based on the theoretical delivered power Pdeltheor and the cable power loss Pdelloss. For example, the actual power Pdelactual is computed as a difference between the delivered power Pdeltheor and the cable power loss Pdelloss of the RF cable 104A. Similarly, the processor 110 calculates actual powers to be delivered to the impedance matching network 102 by the y and z MHz RF generators.


In some embodiments, the processor 110 sends the calculated actual power Pdelactual via a cable 174A to the DSP 160 of the x MHz RF generator. Similarly, the processor 110 sends calculated actual powers corresponding to the y and z MHz RF generators via cables 174B and 174C to the DSPs of the y and z MHz RF generators. Examples of each cable 174A, 174B, and 174C include a cable that facilitates a parallel transfer of data, a cable that facilitates a serial transfer of data, and a USB cable.


The DSP 160 receives the calculated actual power Pdelactual and retrieves, e.g., reads, etc., a drive actual power value corresponding to the actual power Pdelactual and provides the drive actual power value to the RF supply 162. For example, the DSP 160 identifies, within a look-up table, stored within a memory device of the x MHz RF generator the drive actual power value corresponding to the calculated actual power Pdelactual. As another example, the drive actual power value is the same as the calculated actual power Pdelactual. Similarly, DSPs of the y and z MHz RF generators receive calculated actual power values from the processor 110 via the cables 174B and 174C and retrieve drive actual power values, which are provided to RF supplies of the y and z MHz RF generators.


The RF supply 162 includes a driver (not shown) and an amplifier (not shown), which is connected to the driver. The driver of the RF supply 162 receives the drive actual power value from the DSP 160 and generates an RF signal having the drive actual power value. The amplifier of the RF supply 162 amplifies, e.g., increases a magnitude of, etc., the generated RF signal and sends the amplified RF signal via the RF cable 104A to the impedance matching network 102. In some embodiments, there is no amplification performed and the magnitude of the amplified RF signal is the same as that of the RF signal that is generated by the driver of the RF supply 162. Similarly, amplified RF signals are generated by the RF supplies of the y and z MHz RF generators.


The impedance matching network 102 approximately matches an impedance of a load with that of a source based on the amplified RF signal received from the RF supply 162 via the RF cable 104A and the amplified RF signals received from RF supplies of the y and z MHz RF generators to generate a modified RF signal, and provides the modified RF signal via the RF transmission line 114 to the chuck 164 to generate or modify plasma within the plasma chamber 112. For example, the impedance matching network 102 matches an impedance of a load to be within a threshold of an impedance of a source. As another example, the impedance matching network 102 substantially matches an impedance of a load with an impedance of a source.


In a manner similar to that described above, the impedance matching network 102 generates a modified RF signal based on the RF signals received from the x, y, and z MHz RF generators and provides the modified RF signal via the RF transmission line 114 to the plasma chamber 112 to generate or modify plasma within the plasma chamber 112.


It should be noted that in some embodiments, there is no need to use a sensor 120 at an input 176 of the impedance matching network 102 when the processor 110 is used to generate the calculated actual power Pdelactual. The sensor 120 is used to measure forward power and reverse power at the input 176, which is further used to calculate actual power Pdelout that is delivered to the input 176 via the RF cable 104A. The sensor 120 is costly and has inaccuracies. The inaccuracies result in generation of an imprecise actual power value by the sensor 120. Moreover, coupling of the sensor 120 to the input 176 and decoupling of the sensor 120 from the input 176 is time consuming. The input 176 is connected to the output 170 of the x MHz RF generator.


In some embodiments, the plasma system 100 includes any number of RF generators. For example, the plasma system 100 includes one or two or four RF generators.


It should further be noted that in some embodiments, the forward powers associated with the x, y, and z RF generators, the reverse powers associated with the RF generators, the theoretical powers associated with the RF generators, the RF power reflection ratios associated with the RF generators, magnitudes of RF power reflection ratios associated with the RF generators, the cable power attenuation fractions associated with the RF cables 104A, 104B, and 104C, and the cable power losses associated with the RF cables, and actual powers delivered to the impedance matching network 102 by the RF generators are stored within the memory device of the host system 118.


In various embodiments, the operations described herein as being performed by the processor 110 are performed by a number of processors, e.g., two or more processors.


In some embodiments, the equation (2) is derived. The derivation is performed by the processor 110 and is described as follows:

Pdeltheor=Pfwd[1−Γ2]  (3)


Pfwd (1−A) is theoretical power that reaches the impedance matching network 102 and RPfwd (1−A) is theoretical power reflected at the impedance matching network 102, where R is an actual power reflection fraction at the impedance matching network 102. (1−R)Pfwd (1−A) is theoretical power transmitted, e.g., delivered, etc., to the impedance matching network 102. Theoretical power that returns to an RF generator that is coupled to an RF cable having the cable power attenuation fraction A is Prev=RPfwd(1−A)22Pfwd=>Γ2=R(1−A)2. Power to be actually delivered to the impedance matching network 102 is:














(

1
-
R

)




P
fwd



(

1
-
A

)



=





P
fwd



(

1
-


Γ
2



(

1
-
A

)

2



)




(

1
-
A

)








=




P
fwd



(

1
-
A
-


Γ
2


1
-
A



)








=




P
fwd



(

1
-
A
-


Γ
2



(

1
+
A

)



)














P
fwd



(

1
-

Γ
2


)


-


P
fwd



A


(

1
+

Γ
2


)










=




P
deltheor

-


P
deltheor


A



1
+

Γ
2



1
-

Γ
2












(
4
)








FIG. 2A is a diagram of an embodiment of a cable model 180, which is a computer-generated model of an RF cable, e.g., the RF cable 104A, or 104B, or 104C (FIG. 1), etc. The cable model 180 is generated by the processor 110 (FIG. 1) to represent a cable power loss of an RF cable. For example, the cable model 180 represents the cable power loss Pdelloss, which is a loss of delivered power that is attributed by the processor 110 to the RF cable 104A. Power that is delivered at an output 184 of the cable model 180 is a function of a power delivered at an input 182 of the cable model 180 and a cable power loss attributed to an RF cable that is represented by the cable model 180. For example, power Pdelout that is delivered at an output 184 of the cable model 180 is a difference between the power delivered Pdelin at an input 182 of the cable model 180 and the cable power loss Pdelloss that is attributed to the RF cable 104A.


A cable power loss of an RF cable is a function of cable power attenuation fraction of the RF cable and a RF power reflection ratio associated with the RF cable, e.g., the RF cable 104A, or 104B, or 104C (FIG. 1), etc. For example, the cable power loss Pdelloss attributed by the processor 110 to the RF cable 104A is dependent upon the cable power attenuation fraction A of the RF cable 104A and the RF power reflection ratio Γ2 of the RF cable 104A.



FIG. 2B is a diagram of an embodiment of another cable model 182, which is a computer-generated model of an RF cable. The cable model 182 is an example of the cable model 180 (FIG. 2A). Cable power loss that is attributed by the processor 110 to an RF cable is a function of power delivered to an input 188 of the cable model 186, cable power attenuation fraction of the RF cable, and RF power reflection ratio associated with the RF cable. For example, the cable power loss Pdelloss is provided by equation (2) above. Power delivered at an output 190 of the cable model is a function of the power delivered at the input 188 and the cable power loss associated with the cable model 186. For example, the power Pdelout delivered at the output 190 is calculated as a difference between the power Pdelin delivered at the input 188 and the cable power loss Pdelloss of the RF cable 104A.


It should be noted that the input 188 is an example of the input 182 (FIG. 2A) and the output 190 is an example of the output 184 (FIG. 2A).



FIG. 3 is a diagram used to illustrate that a cable power attenuation fraction associated with attenuation of power by an RF cable is dependent upon a length of the RF cable and a frequency of operation of an RF generator that is connected to the RF cable. For example, the processor 110 (FIG. 1) determines the cable power attenuation fraction A of the RF cable 104A (FIG. 1) based on a length L of the RF cable 104A and a frequency of operation of the x MHz RF generator that is connected to the RF cable 104A and that supplies an RF signal via the RF cable 104A to the impedance matching network 102 (FIG. 1).



FIG. 4 is an embodiment of a graph 191 that is shown to illustrate a relationship between a cable power attenuation fraction of an RF cable and a frequency of an RF generator that is connected to the RF cable to provide an RF signal via the RF cable and the impedance matching network 102 (FIG. 1) to the plasma chamber 112 (FIG. 1). The graph 191 includes a plot of a cable power attenuation fraction, measured in decibels per 100 feet, of an RF cable, versus a square root of a frequency of operation of an RF generator that is coupled to the RF cable. The cable power attenuation fraction is plotted on a y-axis and the square root of frequency of operation is plotted on an x-axis.


The graph 191 includes a plot 192 of an RF cable 1 and a plot 194 of another RF cable 2. The plot 194 is linear and the plot 192 is a plot of a polynomial. In some embodiments, the plot 192 is an exponential function.


It should be noted that a cable power attenuation fraction of an RF cable increases with a frequency of operation of an RF generator that is coupled to the RF cable.


In some embodiments, instead of every 100 feet of an RF cable, a cable power attenuation fraction is measured every 10 feet or 1 feet or 2 feet or any other number of feet of the RF cable. In various embodiments, instead of feet, any other unit of length, e.g., meters, or centimeters, or inches, etc., is used.


In various embodiments, a cable power attenuation fraction of an RF cable that is coupled to an RF generator is extrapolated to a frequency of operation of the RF generator by the processor 110 based on high frequencies, e.g., frequencies above 100 MHz, frequencies above 90 MHz, frequencies above 60 MHz etc., and based on cable power attenuation fractions corresponding to the frequencies.



FIG. 5 shows embodiments of graphs 196 and 198 to illustrate a relationship between an error between a value of a variable, e.g., voltage, current, etc., that is generated using a modeled value of the variable without use of the equation (2) and a measured value of the variable. For example, the graph 196 plots a percentage error in a modeled voltage that is generated at an input of a computer-generated model of an impedance matching network versus a voltage that is measured at the input. Also, in this example, the percentage error is plotted on a y-axis and the measured voltage in plotted on an x-axis. In this example, the measured voltage is sensed using a voltage sensor at an output of an RF cable that is represented by a computer-generated model and that is coupled to the impedance matching network. Further, in this example, the modeled voltage is generated by propagating a value of a voltage at an input of the computer-generated model of the RF cable via the computer-generated model of the RF cable. To illustrate, a modeled voltage is propagated by generating a directional sum of the voltage and of modeled voltages of one or more elements of the computer-generated model of the RF cable. In this illustration, the elements include capacitors, or inductors, or a combination thereof. The elements of the computer-generated model of the RF cable have the same or similar characteristics as that of components of an RF cable that is represented by the computer-generated model. For example, when the RF cable has a capacitance of M and an inductance of N, the elements have a capacitance of M and an inductance of N. As another example, when the components include a real capacitor coupled in series with a real inductor, the elements include a modeled capacitor in series with a modeled inductor. As yet another example, when the components include a real capacitor coupled in parallel with a real inductor, the elements include a modeled capacitor in parallel with a modeled inductor. It should be noted examples of the elements of a computer-generated model include one or more capacitors, one or more inductors, or a combination thereof


Similarly, the graph 198 plots a percentage error in a modeled current that is generated at an input of a computer-generated model of an impedance matching network versus a current that is measured at the input. The percentage error in current is plotted on a y-axis and the measured current in plotted on an x-axis.


As shown in graphs 196 and 198, percentage errors are close to and above one percent.


In some embodiments, an input of a computer-generated model of an impedance matching network is coupled to an output of a computer-generated model of an RF cable that is connected to the impedance matching network.



FIG. 6 shows embodiments of graphs 202 and 204 to illustrate a reduction in error in a variable when equation (2) is used to determine a cable power loss. The graph 202 plots a percentage error in a modeled voltage that is determined based on a cable power loss calculated using equation (2) versus a measured voltage, which is voltage measured by coupling a voltage sensor at an input of an impedance matching network that is represented by a computer-generated model. The computed-generated model of the impedance matching network has the modeled voltage at its input. The modeled voltage is generated from the cable power loss by the processor 110 (FIG. 1). The percentage error in the modeled voltage is plotted on a y-axis and the measured voltage is plotted on an x-axis.


Similarly, the graph 204 plots a percentage error in a modeled current that is determined from cable power loss of equation (2) versus a measured current, which is current measured by coupling a current sensor at the input of the impedance matching network that is represented by the computer-generated model of the impedance matching network.


It should be noted that percentage errors illustrated in FIG. 6 are less than the percentage errors illustrated in FIG. 5.



FIG. 7 is a block diagram of an embodiment of a memory device 122 that is used to illustrate use of the actual delivered power Pdelactual to calculate an actual delivered power at an output of a model node. The memory device 122 is a part of the host system 118. The memory device 122 includes an impedance matching model 150, an RF transmission model 152, and a chuck model 154.


The impedance matching model 150 is coupled to the model 180 (FIG. 2) to receive the actual power Pdelactual from an output of the model 180. The RF transmission model 152 is coupled to the impedance matching model 150 at a model node O1, which is a node at an output of the impedance matching model 150 and at an input of the RF transmission model 152.


Moreover, the chuck model 154 is coupled to the RF transmission model 152 at a model node O2, which is a node at an output of the RF transmission model 152 and at an input of the chuck model 154. Also, the chuck model 154 has a model node O3.


In some embodiments, a model has similar characteristics as that of a corresponding part of the plasma system 100 (FIG. 1). For example, the impedance matching model 150 has similar characteristics, e.g., capacitances, inductances, resistances, complex power, complex voltage and currents, etc., as that of the impedance matching network 102. As an example, the impedance matching model 150 has the same number of capacitors and/or inductors and/or resistors as that within the impedance matching network 102, and the capacitors and/or inductors and/or resistors are connected with each other in the same manner, e.g., serial, parallel, etc. as that within the impedance matching network 102. To provide an illustration, when the impedance matching network 102 includes a capacitor coupled in series with an inductor, the impedance matching model 150 also includes the capacitor coupled in series with the inductor.


As another example, the impedance matching network 102 includes one or more electrical circuit components and the impedance matching model 150 includes a design, e.g., a computer-generated model, of the impedance matching network 102. The computer-generated model may be generated by the processor 110 based upon input signals received from a user via an input hardware unit. The input signals include signals regarding which electrical circuit components, e.g., capacitors, inductors, resistors, etc., to include in a model and a manner, e.g., series, parallel, etc., of coupling the electrical circuit components with each other. As another example, the impedance matching network 102 includes hardware electrical circuit components and hardware connections between the electrical circuit components and the impedance matching model 150 includes software representations of the hardware electrical circuit components and of the hardware connections. As yet another example, the impedance matching model 150 is designed using a software program and the impedance matching network 102 is made on a printed circuit board.


As used herein, in some embodiments, electrical circuit components include resistors, capacitors, inductors, connections between the resistors, connections between the inductors, connections between the capacitors, and/or connections between a combination of the resistors, inductors, and capacitors. Examples of connections between resistors, inductors, and/or capacitors include one or more conductors.


Similarly, the RF transmission model 152 and the RF transmission line 114 have similar characteristics. For example, the RF transmission model 152 has the same number of capacitors and/or inductors as that within the RF transmission line 114, and the capacitors and/or inductors are connected with each other in the same manner, e.g., serial, parallel, etc. as that within the RF transmission line 114. To further illustrate, when the RF transmission line 114 includes a capacitor coupled in parallel with an inductor, the RF transmission model 152 also includes the capacitor coupled in parallel with the inductor. As yet another example, the RF transmission line 114 includes one or more electrical circuit components and the RF transmission model 152 includes a design, e.g., a computer-generated model, of the RF transmission line 114.


Similarly, the chuck model 154 and the chuck 164 have similar characteristics. As an example, an inductance of the chuck model 154 is the same as an inductance of the chuck 164. As another example, a resistance of the chuck model 154 is the same as a resistance of the chuck 164. As another example, the chuck model 154 is a computer-generated model of the chuck 164.


The impedance matching model 150, the RF transmission model 152, and the chuck model 154 are generated by the processor 110.


The actual power Pdelactual is propagated via the impedance matching model 150 to the model node O1. For example, a directional sum of the actual power Pdelactual and power values of delivered power associated with electrical circuit components of the impedance matching model 150 is calculated to generate an actual delivered power at the model node O1. Moreover, in some embodiments, the actual delivered power at the model node O1 is propagated via electrical circuit components of the RF transmission model 152 to generate actual delivered power at the model node O2. Also, in various embodiments, the actual delivered power at the model node O2 is propagated via electrical circuit components of the chuck model 154 to generate an actual delivered power at the model node O3. For example, a directional sum of the actual delivered power at the model node O2 and of actual delivered power of electrical circuit components of the chuck model 154 is calculated to generate an actual delivered power at the output node O3.


In various embodiments, the actual power Pdelactual is propagated via a portion of the impedance matching model 150 to generate actual delivered power at an intermediate model node within the impedance matching model 150. The intermediate model node is between electrical circuit components of the impedance matching model 150 at one side of the intermediate model node and electrical circuit components of the impedance matching model 150 at another side of the intermediate model node.


Similarly, in some embodiments, the actual delivered power at the model node O1 is propagated via a portion of the RF transmission model 152 to generate actual delivered power at an intermediate model node within the RF transmission model 152. The intermediate model node of the RF transmission model 152 is between electrical circuit components of the RF transmission model 152 at one side of the intermediate model node and electrical circuit components of the RF transmission model 152 at another side of the intermediate model node.


Moreover, in various embodiments, the actual delivered power at the model node O2 is propagated via a portion of the chuck model 154 to generate actual delivered power at an intermediate model node within the chuck model 154. The intermediate model node of the chuck model 154 is between electrical circuit components of the chuck model 154 at one side of the intermediate model node and electrical circuit components of the chuck model 154 at another side of the intermediate model node.


In some embodiments, the actual power Pdelactual, the actual power at the model node O1, the actual power at the model node O2, and/or the actual power at the model node O3 is sent by the processor 110 to one or more of the x, y, and z MHz RF generators to control RF signals that are generated by the one or more of the x, y, and z MHz RF generators to control plasma within the plasma chamber 112. For example, the processor 110 identifies that the actual power Pdelactual exceeds a threshold. The processor 110 sends a signal to the DSP 160 of the x MHz RF generator to change power of an RF signal supplied by the RF supply 162. The RF signal with the changed amount of power is sent via the RF cable 104A (FIG. 1), the impedance matching network 102, and the RF transmission line 114 (FIG. 1) to the chuck 164 to modify properties of plasma within the plasma chamber 112 to achieve the threshold.


In some embodiments, any functions described herein as performed by the processor 110 are performed by a processor of an RF generator or by a combination of the processor 110 and the processor of the RF generator.



FIG. 8 is a diagram of an embodiment of the host system 118. The host system 118 includes the processor 110, a memory device 122, an input device 220, an output device 222, an input/output (I/O) interface 270, an I/O interface 272, a network interface controller (NIC) 274, and a bus 275. The processor 110, the memory device 122, the input device 220, the output device 222, the I/O interface 270, the I/O interface 272, and the NIC 274 are coupled with each other via the bus 275. Examples of the input device 220 include a mouse, a keyboard, a stylus, etc. Examples of the output device 222 include a display, a speaker, or a combination thereof. The display may be a liquid crystal display, a light emitting diode display, a cathode ray tube, a plasma display, etc. Examples of the NIC 274 include a network interface card, a network adapter, etc.


Examples of an I/O interface include an interface that provides compatibility between pieces of hardware coupled to the interface. For example, the I/O interface 270 converts a signal received from the input device 220 into a form, amplitude, and/or speed compatible with the bus 275. As another example, the I/O interface 272 converts a signal received from the bus 275 into a form, amplitude, and/or speed compatible with the output device 222.


It is further noted that although the above-described operations are described with reference to a parallel plate plasma chamber, e.g., a capacitively coupled plasma chamber, etc., in some embodiments, the above-described operations apply to other types of plasma chambers, e.g., a plasma chamber of an inductively coupled plasma (ICP) reactor, or of a transformer coupled plasma (TCP) reactor, conductor tools, or of an electron-cyclotron resonance (ECR) reactor, etc. For example, the x MHz RF generator, the y MHz RF generator, and the z MHz RF generator are coupled to an inductor within a plasma chamber of the ICP reactor.


It is also noted that although the operations above are described as being performed by the processor 110, in some embodiments, the operations may be performed by one or more processors of the host system 118, or by multiple processors of multiple host systems, or by multiple processors of RF generators.


It should be noted that although the above-described embodiments relate to providing an RF signal to the lower electrode of a chuck of a plasma chamber, and grounding an upper electrode of the plasma chamber, in several embodiments, the RF signal is provided to the upper electrode while the lower electrode is grounded.


Embodiments described herein may be practiced with various computer system configurations including hand-held hardware units, microprocessor systems, microprocessor-based or programmable consumer electronics, minicomputers, mainframe computers and the like. The embodiments can also be practiced in distributed computing environments where tasks are performed by remote processing hardware units that are linked through a network.


With the above embodiments in mind, it should be understood that the embodiments can employ various computer-implemented operations involving data stored in computer systems. These operations are those requiring physical manipulation of physical quantities. Any of the operations described herein that form part of the embodiments are useful machine operations. The embodiments also relates to a hardware unit or an apparatus for performing these operations. The apparatus may be specially constructed for a special purpose computer. When defined as a special purpose computer, the computer can also perform other processing, program execution or routines that are not part of the special purpose, while still being capable of operating for the special purpose. In some embodiments, the operations may be processed by a computer selectively activated or configured by one or more computer programs stored in the computer memory, cache, or obtained over a network. When data is obtained over a network, the data may be processed by other computers on the network, e.g., a cloud of computing resources.


One or more embodiments can also be fabricated as computer-readable code on a non-transitory computer-readable medium. In some embodiments, the non-transitory computer-readable medium is a memory device that can store data, which can be thereafter be read by a computer system. Examples of the non-transitory computer-readable medium include hard drives, network attached storage (NAS), ROM, RAM, compact disc-ROMs (CD-ROMs), CD-recordables (CD-Rs), CD-rewritables (CD-RWs), magnetic tapes and other optical and non-optical data storage hardware units. The non-transitory computer-readable medium can include computer-readable tangible medium distributed over a network-coupled computer system so that the computer-readable code is stored and executed in a distributed fashion.


Although the method operations above were described in a specific order, it should be understood that other housekeeping operations may be performed in between operations, or operations may be adjusted so that they occur at slightly different times, or may be distributed in a system which allows the occurrence of the processing operations at various intervals associated with the processing, as long as the processing of the overlay operations are performed in the desired way.


One or more features from any embodiment may be combined with one or more features of any other embodiment without departing from the scope described in various embodiments described in the present disclosure.


Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications can be practiced within the scope of the appended claims. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein, but may be modified within the scope and equivalents of the appended claims.

Claims
  • 1. A method for modeling cable loss, comprising: receiving a measurement of reverse power at a radio frequency (RF) generator, the RF generator coupled via an RF cable to an input of an impedance matching network, the impedance matching network coupled via an RF transmission line to a plasma chamber, the RF generator having a frequency of operation;receiving a measurement of forward power at the RF generator;computing a theoretical power delivered to the impedance matching network as a difference between the forward power and the reverse power;calculating a ratio of the reverse power to the forward power to generate an RF power reflection ratio;identifying a cable power attenuation fraction of the RF cable based on the frequency of operation of the RF generator and a length of the RF cable;calculating a cable power loss as a function of the RF power reflection ratio, the cable power attenuation fraction, and the theoretical power;calculating an actual power to be delivered to the input of the impedance matching network based on the theoretical power and the cable power loss; andsending the calculated actual power to the RF generator to generate an RF signal,wherein the method is executed by a processor.
  • 2. The method of claim 1, wherein the measurements of the forward and reverse powers are received from a complex voltage and current sensor, the complex voltage and current sensor coupled to an output of the RF generator to measure the reverse power at the RF generator and to measure the forward power at the RF generator.
  • 3. The method of claim 1, wherein the reverse power includes reflected power, wherein the reflected power includes power reflected towards the RF generator from the plasma chamber.
  • 4. The method of claim 1, wherein the RF generator includes an RF power supply and a digital signal processor (DSP) coupled to the RF power supply, the DSP used for providing a value of power of the RF signal to the RF power supply.
  • 5. The method of claim 1, wherein the impedance matching network is used for matching an impedance of a load with that of a source, the load coupled to the impedance matching network at one end of the matching network and the source coupled to the matching network at another end of the matching network.
  • 6. The method of claim 1, wherein the RF transmission line includes an RF rod that is coupled to an RF strap and includes an additional RF rod, the additional RF rod coupled to the RF strap at one end of the additional RF rod and coupled to a chuck of the plasma chamber at another end of the additional RF rod.
  • 7. The method of claim 1, wherein the plasma chamber includes a chuck and an upper electrode, the upper electrode facing the chuck.
  • 8. The method of claim 1, wherein the forward power includes power supplied by the RF generator.
  • 9. The method of claim 1, further comprising identifying the frequency of operation from a list of frequencies, wherein identifying the cable power attenuation fraction comprises determining the cable power attenuation fraction as corresponding to the frequency of operation.
  • 10. The method of claim 1, wherein calculating the cable power loss as the function of the RF power reflection ratio, the cable power attenuation fraction, and the theoretical power comprises: calculating a sum of one and the RF power reflection ratio to generate a first result;calculating a difference between one and the RF power reflection ratio to generate a second result;computing a ratio of the first result to the second result to generate a third result;computing a product of the theoretical power, the cable power attenuation fraction, and the third result to generate the cable power loss.
  • 11. The method of claim 1, wherein calculating the actual power to be delivered to the impedance matching network based on the theoretical power and the cable power loss comprises calculating the actual power as a difference between the theoretical power and the cable power loss.
  • 12. The method of claim 1, wherein the RF signal has power that is generated based on the calculated actual power.
  • 13. A method comprising: receiving a measurement of reverse power at a radio frequency (RF) generator, the RF generator coupled via an RF cable to an input of an impedance matching network, the impedance matching network coupled via an RF transmission line to a plasma chamber, the RF generator having a frequency of operation;receiving a measurement of forward power at the RF generator;computing a theoretical power delivered to the impedance matching network as a difference between the forward power and the reverse power;calculating a ratio of the reverse power to the forward power to generate an RF power reflection ratio;identifying a cable power attenuation fraction of the RF cable based on the frequency of operation of the RF generator and a length of the RF cable;calculating a cable power loss as a function of the RF power reflection ratio, the cable power attenuation fraction, and the theoretical delivered power;calculating an actual power to be delivered to the input of the impedance matching network based on the theoretical delivered power and the cable power loss;determining an actual power at a node associated with a computer-generated model based on the actual power to be delivered to the input of the impedance matching network; andsending the actual power at the node to the RF generator to control the RF generator, wherein sending the actual power at the node to the RF generator to control the RF generator comprises sending a value of the actual power at the node for generating an RF signal to achieve a threshold,wherein the method is executed by a processor.
  • 14. The method of claim 13, wherein the measurements of the forward and reverse powers are received from a complex voltage and current sensor, the complex voltage and current sensor coupled to an output of the RF generator to measure the reverse power at the RF generator and to measure the forward power at the RF generator.
  • 15. The method of claim 13, wherein determining the actual power at the node based on the actual power to be delivered to the input of the impedance matching network comprises propagating the actual power to be delivered to the input of the impedance matching network via the computer-generated model to calculate a value of the actual power at the node.
  • 16. The method of claim 15, wherein propagating the actual power to be delivered to the input of the impedance matching network comprises calculating a directional sum of the actual power to be delivered to the input of the impedance matching network and one or more power values associated with one or more electrical circuit components of the computer-generated model.
  • 17. The method of claim 13, wherein the node includes an input node at an input of the computer-generated model, or an output node at an output of the computer-generated model, or an intermediate node within the computer-generated model.
  • 18. A plasma system comprising: a radio frequency (RF) generator for supplying forward power, the RF generator having a frequency of operation;an impedance matching circuit having an input coupled to the RF generator for receiving the forward power and to generate a modified RF signal based on the forward power;an RF cable coupling the RF generator to the impedance matching circuit to facilitate a transfer of the forward power to the impedance matching circuit;a plasma chamber coupled to the impedance matching circuit via an RF transmission line, the plasma chamber for generating plasma based on the modified RF signal;a sensor coupled to the RF generator to measure the forward power and to measure reverse power, the reverse power reflected from the plasma towards the RF generator via the RF cable;a host system coupled to the sensor for receiving the measurement of the forward power and the reverse power,wherein the host system is configured to: compute a theoretical power delivered to the impedance matching circuit as a difference between the forward power and the reverse power;calculate a ratio of the reverse power to the forward power to generate an RF power reflection ratio;identify a cable power attenuation fraction of the RF cable based on the frequency of operation of the RF generator and a length of the RF cable;calculate a cable power loss as a function of the RF power reflection ratio, the cable power attenuation fraction, and the theoretical delivered power;calculate an actual power to be delivered to the input of the impedance matching circuit based on the theoretical delivered power and the cable power loss; andsend the calculated actual power to the RF generator to control the RF generator.
  • 19. The plasma system of claim 18, wherein the impedance matching circuit lacks a connection to a sensor used to measure forward power at the impedance matching circuit and reverse power reflected at the impedance matching circuit.
US Referenced Citations (199)
Number Name Date Kind
4314879 Hartman et al. Feb 1982 A
4353777 Jacob Oct 1982 A
4377961 Bode Mar 1983 A
4420790 Golke et al. Dec 1983 A
4454001 Sternheim et al. Jun 1984 A
4457820 Bergeron et al. Jul 1984 A
4500563 Ellenberger et al. Feb 1985 A
4504799 Elmis et al. Mar 1985 A
4855897 Shinskey Aug 1989 A
5053725 Gesche et al. Oct 1991 A
5084239 Moulton et al. Jan 1992 A
5202623 LePage Apr 1993 A
5244629 Caputo et al. Sep 1993 A
5303139 Mark Apr 1994 A
5474648 Patrick et al. Dec 1995 A
5479340 Fox et al. Dec 1995 A
5556549 Patrick et al. Sep 1996 A
5571366 Ishii et al. Nov 1996 A
5645796 Caputo et al. Jul 1997 A
5654043 Shao et al. Aug 1997 A
5689215 Richardson et al. Nov 1997 A
5694207 Hung et al. Dec 1997 A
5737177 Mett et al. Apr 1998 A
5764471 Burkhart Jun 1998 A
5788801 Barbee et al. Aug 1998 A
5810963 Tomioka Sep 1998 A
5812361 Jones et al. Sep 1998 A
5866985 Coultas et al. Feb 1999 A
5889252 Williams et al. Mar 1999 A
5892198 Barnes et al. Apr 1999 A
5894400 Graven et al. Apr 1999 A
5917286 Scholl et al. Jun 1999 A
5980767 Koshimizu et al. Nov 1999 A
5989999 Levine et al. Nov 1999 A
6020794 Wilbur Feb 2000 A
6021672 Lee Feb 2000 A
6042686 Dible et al. Mar 2000 A
6048435 DeOrnelias et al. Apr 2000 A
6110214 Klimasauskas Aug 2000 A
6157867 Hwang et al. Dec 2000 A
6198616 Dahimene et al. Mar 2001 B1
6222321 Scholl et al. Apr 2001 B1
6246972 Klimasauskas Jun 2001 B1
6287980 Hanazaki et al. Sep 2001 B1
6351683 Johnson et al. Feb 2002 B1
6431112 Sill et al. Aug 2002 B1
6441555 Howald et al. Aug 2002 B1
6472822 Chen et al. Oct 2002 B1
6522121 Coumou Feb 2003 B2
6535785 Johnson et al. Mar 2003 B2
6597002 Kondo Jul 2003 B1
6669783 Sexton et al. Dec 2003 B2
6677246 Scanlan et al. Jan 2004 B2
6750711 Chawla et al. Jun 2004 B2
6781317 Goodman Aug 2004 B1
6823815 Han et al. Nov 2004 B2
6838635 Hoffman et al. Jan 2005 B2
6862557 Jones et al. Mar 2005 B2
6873114 Avoyan et al. Mar 2005 B2
6972524 Marakhtanov et al. Dec 2005 B1
6983215 Coumou et al. Jan 2006 B2
7042311 Hilliker et al. May 2006 B1
7122965 Goodman Oct 2006 B2
7169625 Davis et al. Jan 2007 B2
7323116 Guiney et al. Jan 2008 B2
7359177 Yang et al. Apr 2008 B2
7361287 Laermer Apr 2008 B2
7375038 Kumar May 2008 B2
7435926 Jafarian-Tehrani Oct 2008 B2
7459100 Kiermasz et al. Dec 2008 B2
7480571 Howald et al. Jan 2009 B2
7505879 Tomoyasu et al. Mar 2009 B2
7586100 Raj et al. Sep 2009 B2
7589473 Suslov Sep 2009 B2
7718538 Kim et al. May 2010 B2
7728602 Valcore et al. Jun 2010 B2
7737042 Kim et al. Jun 2010 B2
7764140 Nagarkatti et al. Jul 2010 B2
7768269 Pipitone et al. Aug 2010 B2
7771606 Kim et al. Aug 2010 B2
7858898 Bailey, III et al. Dec 2010 B2
7967944 Shannon et al. Jun 2011 B2
8030849 Suslov Oct 2011 B2
8040068 Coumou et al. Oct 2011 B2
8053991 Kim et al. Nov 2011 B2
8080168 Cirigliano Dec 2011 B2
8080760 Dhindsa et al. Dec 2011 B2
8103492 Brcka Jan 2012 B2
8264238 El-Chouelry Sep 2012 B1
8271121 Venugopal et al. Sep 2012 B2
8297540 Vijay Oct 2012 B1
8368308 Banna et al. Feb 2013 B2
8404598 Liao et al. Mar 2013 B2
8471484 Watanabe et al. Jun 2013 B2
8501631 Valcore et al. Aug 2013 B2
8609546 Lee et al. Dec 2013 B2
8679358 Nakagawa Mar 2014 B2
8808561 Kanarik Aug 2014 B2
8872429 Rosener Oct 2014 B2
8883028 Kanarik Nov 2014 B2
8901004 Kamp et al. Dec 2014 B2
8901935 Valcore et al. Dec 2014 B2
8906196 Nishio Dec 2014 B2
9076646 Sims et al. Jul 2015 B2
20020096257 Wang et al. Jul 2002 A1
20030082835 McChesney et al. May 2003 A1
20030103793 Murakoshi et al. Jun 2003 A1
20030119308 Geefay et al. Jun 2003 A1
20040028837 Fink Feb 2004 A1
20040045506 Chen et al. Mar 2004 A1
20040060660 Klimecky et al. Apr 2004 A1
20040061448 Avoyan et al. Apr 2004 A1
20040087047 Jaiswal et al. May 2004 A1
20040107906 Collins et al. Jun 2004 A1
20040124077 Christie Jul 2004 A1
20040135590 Quon Jul 2004 A1
20040154540 Hayami Aug 2004 A1
20040222184 Hayami et al. Nov 2004 A1
20040226657 Hoffman Nov 2004 A1
20050034811 Mahoney et al. Feb 2005 A1
20050039682 Dhindsa et al. Feb 2005 A1
20050057165 Goodman Mar 2005 A1
20050090118 Shannon et al. Apr 2005 A1
20050133163 Shannon et al. Jun 2005 A1
20050134186 Brouk et al. Jun 2005 A1
20050151479 Avoyan et al. Jul 2005 A1
20050205208 Sagae et al. Sep 2005 A1
20050205532 Patrick et al. Sep 2005 A1
20050217797 Jafarian-Tehrani Oct 2005 A1
20050241762 Paterson et al. Nov 2005 A1
20050252884 Lam et al. Nov 2005 A1
20060005928 Howald et al. Jan 2006 A1
20060054596 Howard Mar 2006 A1
20060065623 Guiney et al. Mar 2006 A1
20060065631 Cheng et al. Mar 2006 A1
20060065632 Cheng et al. Mar 2006 A1
20060066248 Chistyakov Mar 2006 A1
20060081558 Collins et al. Apr 2006 A1
20060081564 Moroz et al. Apr 2006 A1
20060088655 Collins et al. Apr 2006 A1
20060100824 Moriya May 2006 A1
20060169582 Brown et al. Aug 2006 A1
20060231526 Donohue Oct 2006 A1
20060232471 Coumou Oct 2006 A1
20060267504 VanDrie et al. Nov 2006 A1
20060278608 Hoffman Dec 2006 A1
20070021935 Larson et al. Jan 2007 A1
20070065594 Chiang et al. Mar 2007 A1
20070095788 Hoffman et al. May 2007 A1
20070127188 Yang et al. Jun 2007 A1
20070247074 Paterson et al. Oct 2007 A1
20070252580 Dine et al. Nov 2007 A1
20070262723 Ikenouchi Nov 2007 A1
20080029385 Koshimizu et al. Feb 2008 A1
20080050537 Godyak Feb 2008 A1
20080074255 Park et al. Mar 2008 A1
20080237031 Sato Oct 2008 A1
20080241016 Kato et al. Oct 2008 A1
20090105980 Tetsuka et al. Apr 2009 A1
20090151871 Pease et al. Jun 2009 A1
20090210181 Swank Aug 2009 A1
20090255800 Koshimizu Oct 2009 A1
20090284156 Banna et al. Nov 2009 A1
20090294061 Shannon et al. Dec 2009 A1
20090294414 Shannon et al. Dec 2009 A1
20090295296 Shannon et al. Dec 2009 A1
20090308734 Krauss Dec 2009 A1
20100039747 Sansoni et al. Feb 2010 A1
20100099266 Oswald et al. Apr 2010 A1
20100136793 Chen et al. Jun 2010 A1
20100248488 Agarwal et al. Sep 2010 A1
20100258246 Iwakoshi et al. Oct 2010 A1
20100270141 Carter et al. Oct 2010 A1
20100314048 Long et al. Dec 2010 A1
20100332201 Albarede et al. Dec 2010 A1
20110031216 Liao et al. Feb 2011 A1
20110062014 Gutsol et al. Mar 2011 A1
20110097901 Banna et al. Apr 2011 A1
20110115492 Valcore, Jr. et al. May 2011 A1
20110118863 Valcore, Jr. May 2011 A1
20110137446 Valcore, Jr. et al. Jun 2011 A1
20110139748 Donnelly et al. Jun 2011 A1
20120000887 Eto et al. Jan 2012 A1
20120052689 Tokashiki Mar 2012 A1
20120073754 De La Llera et al. Mar 2012 A1
20120160806 Godyak et al. Jun 2012 A1
20120187844 Hoffman et al. Jul 2012 A1
20120227484 Chen et al. Sep 2012 A1
20120262064 Nagarkatti et al. Oct 2012 A1
20130119018 Kanarik et al. May 2013 A1
20130122711 Marakhtanov et al. May 2013 A1
20130168354 Kanarik Jul 2013 A1
20130180951 Indrakanti et al. Jul 2013 A1
20140062303 Hoffman et al. Mar 2014 A1
20140066838 Hancock Mar 2014 A1
20140076713 Valcore, Jr. et al. Mar 2014 A1
20140305589 Valcore, Jr. et al. Oct 2014 A1
20150002018 Lill et al. Jan 2015 A1
20150020971 Kanarik Jan 2015 A1
Foreign Referenced Citations (23)
Number Date Country
101110347 Jan 2008 CN
101295345 Oct 2008 CN
0474137 Mar 1992 EP
0474137 Mar 1992 EP
0838840 Apr 1998 EP
0838840 Jun 1999 EP
2405721 Jan 2012 EP
2001-127045 May 2001 JP
2002-063999 Feb 2002 JP
2003-282545 Oct 2003 JP
2004239211 Aug 2004 JP
2004-335594 Nov 2004 JP
2005130198 May 2005 JP
2005284046 Oct 2005 JP
10-2005-0088438 Sep 2005 KR
10-2005-0089995 Sep 2005 KR
10-2007-0031915 Mar 2007 KR
9914699 Mar 1999 WO
2008002938 Jan 2008 WO
2008036210 Mar 2008 WO
2012054306 Apr 2012 WO
2013072834 May 2013 WO
2014070838 May 2014 WO
Non-Patent Literature Citations (14)
Entry
Han et al., Power Accuracy and Source-Pull Effect for a High-Power RF Generator, Jun. 16, 2006, 2006 67th ARFTG Conference, San Francisco, CA, pp. 81-92.
Han et al., Abstract, Jun. 16, 2006, 2 pp.
David J. Coumou, Feedforward Power Distortion Correction in RF Power Delivery Systems for Plasma Processing Systems, 2012 IEEE, 5 pp.
“Electromagnetic Waves and Antennas”, Sophocles J. Orfanidis, Rutgers University, Aug. 25, 2013, Chapter 10.10.
PCT/US2010/057478 International Search Report and Written Opinion, mailed Jul. 26, 2011 (6 pages).
PCT/US2011/063422 International Search Report and Written Opinion, mailed Mar. 28, 2012 (9 pages).
PCT/US2010/057450 International Search Report and Written Opinion, mailed Jul. 18, 2011 (9 pages).
PCT/IB2013/051010 International Search Report and Written Opinion, mailed Jul. 3, 2013 (13 pages).
Thorsten, Lill et al, “Controlling ION Energy Within a Plasma Chamber”, U.S. Appl. No. 13/930,138, filed Jun. 28, 2013.
Damon et al., “Synchronous Pulsed Plasma for Silicon Etch Applications”, ECS Transactions, 27 (1) pp. 717-723 (2010), Publication by: The Electrochemical Society.
Lars Christoph, Comparison of Applied Materials DPS Chambers used for poly-Si Etch process by Plasma Parameters, Dec. 6, 2000, 2nd workshop on self excited electron plasma resonance spectroscopy, Dresden, Germany.
Christoph Steuer, TOOL Comparison at GC Stack Etch in LAM TCP Using Plasma Parameters (SEERS), Dec. 12, 2000, 2nd workshop on self excited electron plasma resonance spectroscopy, Dresden,Germany.
Dobbyn, Kieran, “Design and Application of a Plasma Impedance Monitor for RF Plasma Diagnostics”, a thesis for the degree of M.Sc., Jun. 2000, 84 pages, Dublin City University.
Yang et al., “Real-Time Fault Classification for Plasma Processes”, Jul. 6, 2011, Sensors 2011, 11(7), pp. 7037-7054 (18 pages).
Related Publications (1)
Number Date Country
20150198639 A1 Jul 2015 US