Carbon hard masks for patterning applications and methods related thereto

Information

  • Patent Grant
  • 11469097
  • Patent Number
    11,469,097
  • Date Filed
    Monday, April 8, 2019
    5 years ago
  • Date Issued
    Tuesday, October 11, 2022
    a year ago
Abstract
Embodiments herein provide methods of depositing an amorphous carbon layer using a plasma enhanced chemical vapor deposition (PECVD) process and hard masks formed therefrom. In one embodiment, a method of processing a substrate includes positioning a substrate on a substrate support, the substrate support disposed in a processing volume of a processing chamber, flowing a processing gas comprising a hydrocarbon gas and a diluent gas into the processing volume, maintaining the processing volume at a processing pressure less than about 100 mTorr, igniting and maintaining a deposition plasma of the processing gas by applying a first power to one of one or more power electrodes of the processing chamber, maintaining the substrate support at a processing temperature less than about 350° C., exposing a surface of the substrate to the deposition plasma, and depositing an amorphous carbon layer on the surface of the substrate.
Description
Description of the Related Art

Carbon hard masks formed of amorphous carbon are used in semiconductor device manufacturing as an etching mask in forming high aspect ratio openings (e.g., a height to width ratio of 2:1 or more) in a substrate surface or in a material surface layer thereof. Generally, processing issues related to forming high aspect ratio openings, including clogging, hole-shape distortion, pattern deformation, top critical dimension blow up, line bending, and profile bowing are a result of undesirable material properties of conventionally deposited carbon hard masks. For example, carbon hard masks having one or a combination of lower material density and lower material rigidity (i.e., Young's modulus) are known to cause increased deformation of high aspect ratio openings when compared to hard mask materials having a higher density or higher rigidity. Likewise, both lower etch selectivity between hard mask materials and a to be etched substrate material disposed therebeneath and hard mask materials having higher film stress (compressive or tensile) are known to cause increased slit pattern deformation and line bending when compared to processes using hard mask materials with higher etch selectivity to the underlying substrate material and lower film stress. Further, as critical dimensions (CDs) shrink and the heights of high aspect ratio openings increase, the thickness of conventionally deposited carbon hardmask used to form the high aspect ratio openings also increases. Unfortunately, hard masks having lower transparency due to one or both of low optical K and increased thickness can cause alignment problems in subsequent photolithography processes. Hard mask materials with higher etch selectivity to the underlying substrate materials allow for reduced thickness compared to hard masks with lower etch selectivity and are therefore desirable. Further, processes having lower etch selectivity between the hard mask material and the underlying substrate material often rely upon relativity thicker hard masks which undesirably increase processing time and cost to deposit, leading to reduced substrate processing capacity and increased device costs.


Accordingly, what is needed in the art are improved amorphous carbon hard masks and improved methods of forming improved amorphous carbon hard masks.


SUMMARY

Embodiments of the present disclosure generally describe methods of depositing an amorphous carbon layer onto a substrate, including over previously formed layers on the substrate, using a plasma enhanced chemical vapor deposition (PECVD) process and hard masks formed therefrom.


In one embodiment, a method of processing a substrate includes positioning a substrate on a substrate support, the substrate support disposed in a processing volume of a processing chamber, flowing a processing gas comprising a hydrocarbon gas and a diluent gas into the processing volume, maintaining the processing volume at a processing pressure less than about 100 mTorr, igniting and maintaining a deposition plasma of the processing gas by applying a first power to one of one or more power electrodes of the processing chamber, maintaining the substrate support at a processing temperature less than about 350° C., exposing a surface of the substrate to the deposition plasma, and depositing an amorphous carbon layer on the surface of the substrate.


In another embodiment, a method of processing a substrate includes positioning a substrate on a substrate support, the substrate support disposed in a processing volume of a processing chamber, flowing a processing gas comprising a hydrocarbon gas and a diluent gas into the processing volume, maintaining the processing volume at a processing pressure less than about 20 mTorr, igniting and maintaining a deposition plasma of the processing gas by applying a first ac power one of one or more power electrodes of the substrate support, wherein the first ac power is between about 0.7 watts and about 15 watts per cm2 of a substrate receiving surface of the substrate support, maintaining the substrate support at a processing temperature less than about 100° C., exposing a surface of the substrate to the deposition plasma, and depositing an amorphous carbon layer on the surface of the substrate.


In another embodiment, a carbon hard mask includes an amorphous carbon layer disposed on a surface of a substrate, wherein the amorphous carbon layer has a density of more than about 1.8 g/cm3, a Young's modulus of more than about 50 GPa, a film stress less than about 500 MPa, and an absorption coefficient (optical K) of less than about 0.15 at a wavelength of about 633 nm.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of scope, for the disclosure may admit to other equally effective embodiments.



FIG. 1 is a schematic cross sectional view of an exemplary processing chamber used to practice the methods set forth herein, according to one embodiment.



FIG. 2 is a flow diagram of a method of depositing an amorphous carbon layer, according to one embodiment.



FIG. 3 illustrates a carbon hard mask formed of an amorphous carbon layer deposited according to the method set forth in FIG. 2, according to one embodiment.





DETAILED DESCRIPTION

Embodiments of the present disclosure generally describe methods for depositing an amorphous carbon layer onto a substrate, including over previously formed layers on the substrate, using a plasma enhanced chemical vapor deposition (PECVD) process. In particular, the methods described herein provide for lower processing pressures, e.g., less than about 100 mTorr, lower processing temperatures e.g., less than about 350° C., and higher powers, e.g., more than about 1000 W, than typically used in conventional methods of depositing amorphous carbon layers. In some embodiments herein, the power used to ignite and maintain the deposition plasma is delivered to one or more power electrodes disposed in or coupled to a substrate support having the substrate disposed thereon. Each or combinations of lower processing pressures, lower processing temperatures, higher powers, and substrate level plasma (plasma formed through capacitive coupling with power electrodes of the substrate support) increase the ion energy at the substrate surface during deposition which results in an amorphous carbon layer having a desirably higher ratio of sp3 content (diamond like carbon) to sp2 content (graphite like carbon) when compared to conventional deposition methods. Because of the resulting higher sp3 content, the methods described herein provide amorphous carbon layers having improved density, rigidity, transparency, etch selectivity, and film stress when compared to conventionally deposited amorphous carbon layers.



FIG. 1 is a schematic cross sectional view of an exemplary processing chamber used to practice the methods set forth herein, according to one embodiment. Other exemplary processing chambers that may be used to practice the methods describe herein include Radion®, Producer®, and SYM3® processing chambers available from Applied Materials, Inc., of Santa Clara, Calif. as well as suitable deposition chambers from other manufacturers.


The processing chamber 100 includes a chamber lid assembly 101, one or more sidewalls 102, and a chamber base 104. The chamber lid assembly 101 includes a chamber lid 106, a showerhead 107 disposed in the chamber lid 106 and electrically coupled thereto, and an electrically insulating ring 108, disposed between the chamber lid 106 and the one or more sidewalls 102. The showerhead 107, the one or more sidewalls 102, and the chamber base 104 together define a processing volume 105. A gas inlet 109, disposed through the chamber lid 106 is fluidly coupled to a gas source 110. The showerhead 107, having a plurality of openings 111 disposed therethrough, is used to uniformly distribute processing gases from the gas source 110 into the processing volume 105. Herein, the chamber lid assembly 101, and thus the showerhead 107, is electrically coupled to an earthen ground. In other embodiments, the chamber lid assembly 101, and thus the showerhead 107 disposed therein, is electrically coupled to a power supply (not shown), such as a continuous wave (CW) RF power supply, a pulsed RF power supply, a DC power supply, a pulsed DC power supply, or a combination thereof, which deliver one or more bias voltages thereto. In other embodiments, the processing chamber 100 does not include a showerhead 107 and processing gases are delivered to the processing volume 105 through one or more gas inlets disposed through the chamber lid 106 or the one or more sidewalls 102.


Herein, the processing volume 105 is fluidly coupled to a vacuum source, such as to one or more dedicated vacuum pumps, through a vacuum outlet 114, which maintains the processing volume 105 at sub-atmospheric conditions and evacuates the processing gas and other gases therefrom. A substrate support 115, disposed in the processing volume 105, is disposed on a movable support shaft 116 sealingly extending through the chamber base 104, such as being surrounded by bellows (not shown) in the region below the chamber base 104. Herein, the processing chamber 100 is configured to facilitate transferring of a substrate 117 to and from the substrate support 115 through an opening 118 in one of the one or more sidewalls 102, which is sealed with a door or a valve (not shown) during substrate processing.


Typically, the substrate 117, disposed on the substrate support 115, is maintained at a desired processing temperature using one or both of a heater, such as a resistive heating element 119, and one or more cooling channels 120 disposed in the substrate support 115. The one or more cooling channels 120 are fluidly coupled to a coolant source (not shown), such as a modified water source having relatively high electrical resistance or a refrigerant source.


In some embodiments, one or more power electrodes (not shown) embedded in a dielectric material of the substrate support 115 or coupled thereto are coupled to one or more RF or other ac frequency power supplies, such as the first power supply 121A and the second power supply 121B, through a matching circuit 122. Herein, a deposition plasma 123 is ignited and maintained in the processing volume 105 by capacitively coupling processing gases therein with one of one or more power electrodes at an ac power delivered thereto from the first power supply 121A. In some embodiments, the deposition plasma 123 is further maintained by capacitive coupling with one of the one or more power electrodes at an ac power delivered thereto from the second power supply 121B. Herein, the first power supply 121A and the second power supply 121B each deliver an ac power having a frequency between about 350 kHz and about 100 MHz, where the frequency of the power from the first power supply 121A is different than a frequency from the second power supply 121B.



FIG. 2 is a flow diagram of a method of depositing an amorphous carbon layer on a surface of a substrate, according to one embodiment. At activity 201 the method 200 includes positioning a substrate on a substrate support. Herein, the substrate support is disposed in a processing volume of a processing chamber, such as the processing chamber 100 described in FIG. 1. At activity 202 the method 200 includes flowing a processing gas into the processing volume. Typically, the processing gas includes a carbon source gas, such as a hydrocarbon gas, for example CH4, C2H2, C3H8, C4H10, C2H4, C3H6, C4H8, and C5H10, or a combination thereof, and a diluent gas for example an inert gas, such as Ar, He, Ne, Kr, or Xe, or combinations thereof. In some embodiments, the diluent gas comprises an inert gas N2, H2, or combinations thereof. In some embodiments, a ratio of the flowrate, (hereafter ratio), of the hydrocarbon gas to the diluent gas is between about 1:10 and about 10:1, such as between about 1:5 and about 5:1. For example in one embodiment a ratio of C2H2 to He is between about 1:3 and about 3:1. In some embodiments, the diluent gas comprises H2 and a ratio between H2 and the carbon source gas is between about 0.5:1 and about 1:10, such as between about 1:1 and about 1:5. At activity 203 the method 200 includes maintaining the processing volume at a processing pressure between about 0.1 mTorr and about 100 mTorr, such as between about 0.1 mTorr and about 50 mTorr, between 0.1 mTorr and about 30 mTorr, between about 0.1 mTorr and about 20 mTorr, between about 0.1 mTorr and about 15 mTorr, for example between about 0.1 mTorr and about 10 mTorr, or less than about 100 mTorr, less than about 50 mTorr, less than about 20 mTorr, less than about 15 mTorr, for example about less than about 10 mTorr.


At activity 203 the method 200 includes igniting and maintaining a deposition plasma of the processing gas by applying a first power to one of one or more power electrodes of the processing chamber. Herein, the one or more power electrodes are one of one or more top electrodes (e.g., a chamber lid of the processing chamber or a showerhead disposed in the chamber lid), one or more side electrodes (e.g., one or more sidewalls of the processing chamber), or are part of the substrate support (e.g., one or more electrodes embedded in or coupled to a dielectric material of the substrate support). Typically, the first power is between about 500 W and about 8 kW, such as between about 1000 W and about 5 kW, for a processing chamber sized to process a 300 mm diameter substrate. Appropriate scaling may be used for processing chambers sized to process different sized substrates.


In some embodiments, the one or more power electrodes are one or a combination of embedded in or coupled to a dielectric material of the substrate support. In some embodiments, the first power is an RF or other ac frequency power between about 0.7 W and about 11.3 W per cm2 of a substrate receiving surface of the substrate support, herein W/cm2, such as between about 1.4 W/cm2 and about 7.1 W/cm2, or between about 500 W and about 5 kW for a substrate support having a substrate receiving surface sized to support a 300 mm diameter substrate, such as between about 1000 W and about 5 kW.


In some embodiments, the method 200 further includes applying a second power to one of the one or more power electrodes, where the second power is an RF or other ac frequency power between about 0.14 W/cm2 and about 7.1 W/cm2, such as between about 0.14 W/cm2 and about 3.5 W/cm2, or between about 100 W and about 5 kW, for a substrate support having a substrate receiving surface sized to support a 300 mm diameter substrate, such as between about 100 W and about 2.5 kW. Herein, a frequency of the second power is different from a frequency of the first power. Typically, frequencies of one or both of the first power and the second power are between about 350 kHz and about 100 MHz, such as about 350 KHz, about 2 MHz, about 13.56 MHz, about 27 MHz, about 40 MHz, about 60 MHz, and about 100 MHz. In some embodiments, the first power and the second power are applied to different power electrodes which are electrically isolated from one another, for example dual power electrodes embedded in, and isolated from one another by, a dielectric material of a substrate support. In some embodiments, the first power and the second power are applied to the same power electrode using a conventional impedance matching circuit.


At activity 204 the method 200 includes maintaining the substrate support, and thus the substrate disposed thereon, at a temperature between about −50° C. and about 350° C., such as between about −50° C. and about 150° C., between about −50° C. and about 100° C., or between about −50° C. and about 50° C., for example between about −25° C. and about 25° C. or a temperature less than about 350° C., such as less than about 200° C., less than about 150° C., or less than 100° C., for example less than about 50° C.


At activities 205 and 206 the method 200 respectively includes exposing a surface of the substrate to the deposition plasma and depositing an amorphous carbon layer on the surface of the substrate.



FIG. 3 illustrates a carbon hard mask deposited according to the method set forth in FIG. 2, according to one embodiment. In FIG. 3 the carbon hard mask 303, herein a patterned carbon hard mask, includes an amorphous carbon layer 302, having a plurality of openings 304 formed therein, disposed on a to-be-patterned surface of a substrate 300. Typically, the substrate 300 or one or more material layers thereof are formed of one or a combination of crystalline silicon, silicon oxide, silicon oxynitride, silicon nitride, strained silicon, silicon germanium, tungsten, titanium nitride, doped or undoped polysilicon, carbon doped silicon oxides, silicon nitrides, doped silicon, germanium, gallium arsenide, glass, sapphire, and low k dielectric materials.


Herein, the amorphous carbon layer has a thickness between about 1 kÅ and about 40 kÅ, such as between about 10 kÅ and about 40 kÅ, for example between about 10 kÅ and about 30 kÅ, a density of more than about 1.8 g/cm3, a Young's modulus of more than about 50 GPa, and an absorption coefficient (optical K) of less than about 0.15 at a wavelength of about 633 nm. In some embodiments, the amorphous carbon layer has a tensile or compressive film stress of less than about 500 MPa. In some embodiments, the amorphous carbon layer has a tensile film stress of less than about 500 MPa. In some embodiments, each of the openings 304 have an aspect ratio (height to width) of more than about 2:1, such as more than about 3:1, more than about 4:1, more than about 5:1, more than about 6:1, more than about 7:1, more than about 8:1, more than about 9:1, for example more than about 10:1.


The methods described herein provide amorphous carbon layers, and carbon hard masks formed therefrom, having improved density, rigidity, transparency, etch selectivity, and stress when compared to conventionally deposited amorphous carbon layers. Further, the methods described herein are desirably compatible with current carbon hard mask process integration schemes which means that introduction of the methods into existing device manufacturing lines will not require substantial changes in upstream or downstream processing methods or equipment related thereto.


While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims
  • 1. A method of processing a substrate, comprising: positioning a substrate on a substrate support, the substrate support disposed in a processing volume of a processing chamber;flowing a processing gas comprising a hydrocarbon gas and a diluent gas into the processing volume, the diluent gas comprising H2, wherein a ratio of the H2 to the hydrocarbon gas in the processing gas is between about 0.5:1 and about 1:10;maintaining the processing volume at a processing pressure less than about 100 mTorr;igniting and maintaining a deposition plasma of the processing gas by applying a first power to one of one or more power electrodes of the processing chamber;maintaining the substrate support at a processing temperature less than about 350° C.;exposing a surface of the substrate to the deposition plasma; anddepositing an amorphous carbon layer on the surface of the substrate, the deposited amorphous carbon layer having a film stress with an absolute value less than about 500 MPa.
  • 2. The method of claim 1, wherein the deposited amorphous carbon layer has a density of more than about 1.8 g/cm3.
  • 3. The method of claim 1, wherein the deposited amorphous carbon layer has a Young's modulus of more than about 50 GPa.
  • 4. The method of claim 1, wherein the deposited amorphous carbon layer has an absorption coefficient (optical K) of less than about 0.15 at a wavelength of about 633 nm.
  • 5. The method of claim 1, wherein the deposited amorphous carbon layer has a density of more than about 1.8 g/cm3, a Young's modulus of more than about 50 GPa, and an absorption coefficient (optical K) of less than about 0.15 at a wavelength of about 633 nm.
  • 6. The method of claim 5, wherein the deposited amorphous carbon layer has a plurality of openings formed therethrough, and wherein each of the plurality of openings have a height to width ratio of more than about 2:1.
  • 7. The method of claim 1, wherein the hydrocarbon gas comprises one of CH4, C2H2, C3H8, C4H10, C2H4, C3H6, C4H8, C5H10, or a combination thereof.
  • 8. The method of claim 7, wherein a ratio of hydrocarbon gas to diluent gas is between about 1:10 and about 10:1.
  • 9. The method of claim 7, wherein the processing temperature is less than about 100° C.
  • 10. The method of claim 9, wherein the processing pressure is less than about 20 mTorr.
  • 11. The method of claim 10, wherein each of the one or more power electrodes are part of the substrate support.
  • 12. The method of claim 11, wherein the first power is an ac power between about 0.7 W and about 11.3 W per cm2 of a substrate receiving surface of the substrate support, wherein the first power has a frequency between about 350 kHz and about 100 MHz.
  • 13. The method of claim 12, further comprising applying a second power to one of the one or more power electrodes, wherein the second power is an ac power between about 0.14 Wand about 11.3 W per cm2 of the substrate receiving surface of the substrate support, wherein the second power has a frequency between about 350 kHz and about 100 MHz, and wherein the frequency of the first power is different than the frequency of the second power.
  • 14. The method of claim 1, wherein the processing temperature is less than 0° C.
  • 15. A method of processing a substrate, comprising: positioning a substrate on a substrate support, the substrate support disposed in a processing volume of a processing chamber;flowing a processing gas comprising a hydrocarbon gas and a diluent gas into the processing volume, the diluent gas comprising Hz wherein a ratio of the H2 to the hydrocarbon gas in the processing gas is between about 0.5:1 and about 1:10;maintaining the processing volume at a processing pressure less than about 20 mTorr;igniting and maintaining a deposition plasma of the processing gas by applying a first ac power to one of one or more power electrodes of the substrate support, wherein the first ac power is between about 0.7 watts and about 15 watts per cm2 of a substrate receiving surface of the substrate support;maintaining the substrate support at a processing temperature less than about 100° C.;exposing a surface of the substrate to the deposition plasma; anddepositing an amorphous carbon layer on the surface of the substrate, the deposited amorphous carbon layer having a film stress with an absolute value less than about 500 MPa.
  • 16. The method of claim 15, wherein the hydrocarbon gas comprises one of CH4, C2H2, C3H8, C4H10, C2H4, C3H6, C4H8, C5H10, or a combination thereof.
  • 17. The method of claim 15 further comprising applying a second ac power to one of the one or more power electrodes of the substrate support, wherein the second ac power is between about 0.14 W and about 7.1 W per cm2 of the substrate receiving surface of the substrate support, wherein the first ac power and the second ac power each have a frequency between about 350 kHz and about 100 MHz, and wherein the frequency of the first ac power is different than the frequency of the second ac power.
  • 18. A carbon hard mask, comprising: an amorphous carbon layer disposed on a surface of a substrate, wherein the amorphous carbon layer has a density of more than about 1.8 g/cm3, a Young's modulus of more than about 50 GPa, a film stress with an absolute value less than about 500 MPa, and an absorption coefficient (optical K) of less than about 0.15 at a wavelength of about 633 nm.
  • 19. The carbon hard mask of claim 18 wherein the amorphous carbon layer has a plurality of openings formed therethrough, and wherein each of the each of the plurality of openings have a height to width ratio of more than about 2:1.
  • 20. The carbon hard mask of claim 19, wherein the height to width ratio of one or more of the plurality of openings is more than about 10:1.
BACKGROUND

This application is a National Phase Application under 35 U.S.C. 371 of International Application No. PCT/US2019/026354, filed Apr. 8, 2019, which claims priority to U.S. Provisional Patent Application No. 62/655,049 filed Apr. 9, 2018, each of which is incorporated by reference in their entireties.

PCT Information
Filing Document Filing Date Country Kind
PCT/US2019/026354 4/8/2019 WO
Publishing Document Publishing Date Country Kind
WO2019/199681 10/17/2019 WO A
US Referenced Citations (83)
Number Name Date Kind
5470661 Bailey Nov 1995 A
6900002 Plat et al. May 2005 B1
7166336 Mori et al. Jan 2007 B1
7268846 Hwang et al. Sep 2007 B2
7824498 Parkhe et al. Nov 2010 B2
7942111 Burger et al. May 2011 B2
8105660 Tudhope et al. Jan 2012 B2
8119240 Cooper Feb 2012 B2
8361906 Lee et al. Jan 2013 B2
8852348 Parkhe et al. Oct 2014 B2
9269587 Shimizu et al. Feb 2016 B2
9412613 Manna et al. Aug 2016 B2
9695503 Stowell et al. Jul 2017 B2
9695593 Vokey et al. Jul 2017 B2
10249495 Yang et al. Apr 2019 B2
10544505 Yang et al. Jan 2020 B2
20010032591 Carducci et al. Oct 2001 A1
20030180459 Redeker et al. Sep 2003 A1
20040026235 Stowell Feb 2004 A1
20040045934 Harvey et al. Mar 2004 A1
20040261720 Tolmachev et al. Dec 2004 A1
20050056940 Sandhu Mar 2005 A1
20050279624 Brcka Dec 2005 A1
20060093803 Hwang et al. May 2006 A1
20060246290 Oda et al. Nov 2006 A1
20060264063 Stern et al. Nov 2006 A1
20070104867 Haba May 2007 A1
20070275569 Moghadam et al. Nov 2007 A1
20080053819 Hong et al. Mar 2008 A1
20080085604 Hoshino et al. Apr 2008 A1
20080099326 Ye et al. May 2008 A1
20080188090 Chen et al. Aug 2008 A1
20080194169 Sterling et al. Aug 2008 A1
20080277063 Shin Nov 2008 A1
20090029067 Sciamanna et al. Jan 2009 A1
20090047760 Yamazaki et al. Feb 2009 A1
20090186206 Ito et al. Jul 2009 A1
20090212010 Wang et al. Aug 2009 A1
20100093187 Lee et al. Apr 2010 A1
20100095979 Hua et al. Apr 2010 A1
20100218785 Green et al. Sep 2010 A1
20100291713 Lee et al. Nov 2010 A1
20110005681 Savas et al. Jan 2011 A1
20110017424 Parkhe et al. Jan 2011 A1
20110136286 Stewart et al. Jun 2011 A1
20110274852 Ito et al. Nov 2011 A1
20110287633 Lee et al. Nov 2011 A1
20120237693 Jackson et al. Sep 2012 A1
20120276743 Won et al. Nov 2012 A1
20130008603 Eto et al. Jan 2013 A1
20130034666 Liang Feb 2013 A1
20130146443 Papa et al. Jun 2013 A1
20130160794 Griffith Cruz et al. Jun 2013 A1
20130302996 Reilly et al. Nov 2013 A1
20140054658 Ma et al. Feb 2014 A1
20140170853 Shamma et al. Jun 2014 A1
20140273461 Lee et al. Sep 2014 A1
20140345802 Umehara et al. Nov 2014 A1
20140355912 Fortune Dec 2014 A1
20150200094 Underwood et al. Jul 2015 A1
20150348824 Kuenle et al. Dec 2015 A1
20150371851 Lee et al. Dec 2015 A1
20160042961 Dorf et al. Feb 2016 A1
20160053366 Stowell et al. Feb 2016 A1
20160179005 Shamma et al. Jun 2016 A1
20160276134 Collins et al. Sep 2016 A1
20160284538 Reilly et al. Sep 2016 A1
20160372307 Yang et al. Dec 2016 A1
20170040140 Tanaka et al. Feb 2017 A1
20170069464 Ye et al. Mar 2017 A1
20170103893 Kulshreshtha et al. Apr 2017 A1
20170330734 Lee et al. Nov 2017 A1
20170372899 Yang et al. Dec 2017 A1
20180096843 Kulshreshtha et al. Apr 2018 A1
20180274089 Yang et al. Sep 2018 A1
20180274100 Yang et al. Sep 2018 A1
20180358222 Venkatasubramanian et al. Dec 2018 A1
20180358229 Koshizawa et al. Dec 2018 A1
20190057839 Kellogg et al. Feb 2019 A1
20190057862 Yang et al. Feb 2019 A1
20190122889 Kulshreshtha et al. Apr 2019 A1
20190228970 Yang et al. Jul 2019 A1
20200144029 Gandikota et al. May 2020 A1
Foreign Referenced Citations (16)
Number Date Country
101064238 Oct 2007 CN
2010024476 Feb 2010 JP
2018536287 Dec 2018 JP
20110115291 Oct 2011 KR
20120121340 Nov 2012 KR
468209 Dec 2001 TW
200937517 Sep 2009 TW
201204862 Feb 2012 TW
201204862 Feb 2012 TW
201438062 Oct 2014 TW
201503228 Jan 2015 TW
201730962 Sep 2017 TW
201740539 Nov 2017 TW
201809339 Mar 2018 TW
2019199681 Oct 2019 WO
2019212592 Nov 2019 WO
Non-Patent Literature Citations (7)
Entry
Taiwan Office Action dated Jan. 5, 2022 for Application No. 108112252.
Taiwan Office Action dated Mar. 12, 2020, for Taiwan Patent Application No. 108112252.
International Search Report and the Written opinion of the International Searching Authority for PCT/US2019/016354 dated Jul. 23, 2019, 12 pages.
Taiwan Office Action dated Oct. 22, 2020, for Taiwan Patent Application No. 108112252.
Ban et al. “Diamond-like carbon films deposited by electron beam excited plasma chemical vapor deposition,” Diamond and Related Materials, vol. 11, No. 7, Jul. 2002, pp. 1353-1359, <https://doi.org/10.1016/S0925-9635(01) 00743-9>.
Ban et al. “Stress and structural properties of diamond-like carbon films deposited by electron beam excited plasma CVD,” Diamond and Related Materials, vol. 12, No. 1, Jan. 2003, pp. 47-56, <https://doi.org/10.1016/S0925-9635 (02)00265-0>.
Moushinho et al. “High density plasma chemical vapor deposition of diamond-like carbon films,” Microelectronics Journal, vol. 34, Nos. 5-8, May-Aug. 2003, pp. 627-629, <https://doi.org/10.1016/S0026-2692(03)00065-X>.
Related Publications (1)
Number Date Country
20210043449 A1 Feb 2021 US
Provisional Applications (1)
Number Date Country
62655049 Apr 2018 US