CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION AND RESIST PATTERN FORMING PROCESS

Abstract
A chemically amplified negative resist composition comprising (A) an acid generator in the form of a sulfonium salt having formula (A1) or iodonium salt having formula (A2) and (B) a base polymer containing a polymer comprising repeat units having formula (B1) is provided. The resist composition exhibits a high resolution during pattern formation and forms a pattern with satisfactory LER and fidelity.
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This non-provisional application claims priority under 35 U.S.C. § 119(a) on Patent Application No. 2022-089738 filed in Japan on Jun. 1, 2022, the entire contents of which are hereby incorporated by reference.


TECHNICAL FIELD

This invention relates to a chemically amplified negative resist composition and a resist pattern forming process using the same.


BACKGROUND ART

To meet the demand for higher integration density and operating speed of LSIs, the effort to reduce the pattern rule is in rapid progress. Acid-catalyzed chemically amplified resist compositions are most often used in forming resist patterns with a feature size of 0.2 μm or less. High-energy radiation such as UV, deep-UV or EB is used as the light source for exposure of these resist compositions. In particular, while EB lithography is utilized as the ultra-fine microfabrication technique, it is also indispensable in processing photomask blanks to form photomasks for use in semiconductor device fabrication.


Polymers comprising a major proportion of aromatic structure having an acidic side chain, for example, polyhydroxystyrene are useful in resist materials for the KrF excimer laser lithography. These polymers are not used in resist materials for the ArF excimer laser lithography because they exhibit strong absorption at a wavelength of around 200 nm. These polymers, however, are expected to form useful resist materials for the EB and EUV lithography for forming patterns of smaller size than the processing limit of ArF excimer laser because they offer high etching resistance.


One of the important applications of chemically amplified resist material resides in processing of photomask blanks. Some photomask blanks have a surface material that can have an impact on the pattern profile of the overlying chemically amplified resist film, for example, a layer of a chromium compound, typically chromium oxide deposited on a photomask substrate. For high resolution and profile retention after etching, it is one important performance factor to maintain the profile of a resist film pattern rectangular independent of the type of substrate. In recent years, the multibeam mask writing (MBMW) process is used in the processing of mask blanks to achieve further miniaturization. The resist used in the MBMW process is a low-sensitivity resist (or high-dose region) which is advantageous in roughness while a spotlight is brought to the optimization of the resist composition in the high-dose region.


Resist compositions for photolithography include positive ones in which exposed areas are dissolved away and negative ones in which exposed areas are left as a pattern. A viable composition is selected among them depending on the desired resist pattern. In general, the chemically amplified negative resist composition comprises a polymer which is normally soluble in an aqueous alkaline developer, an acid generator which is decomposed to generate an acid upon exposure to light, and a crosslinker which causes the polymer to crosslink in the presence of the acid serving as a catalyst, thus rendering the polymer insoluble in the developer (sometimes, the crosslinker is incorporated in the polymer). Most often a quencher is added for controlling the diffusion of the acid generated upon light exposure.


Typical of the alkali-soluble units to constitute polymers which dissolve in aqueous alkaline developer are units derived from phenols. A number of negative resist compositions of such type were developed, especially as adapted for exposure to KrF excimer laser light. These compositions have not been used in the ArF excimer laser lithography because the phenolic units are not transmissive to exposure light having a wavelength of 150 to 220 nm. Recently, these compositions are recognized attractive again as the negative resist composition for the short wavelength (e.g., EB or EUV) lithography capable of forming finer size patterns. Exemplary compositions are described in Patent Documents 1 to 3.


Of many acid generators known in the art, Patent Document 4 describes a sulfonium salt capable of generating a sulfonic acid having an iodized aromatic group. This sulfonium salt aims to enhance the sensitization effect in the EUV lithography and is mainly handled as a quencher for fluorinated alkane sulfonic acids. The sulfonium salt has not been fully studied as the acid generator, especially for use in resist compositions comprising polyhydroxystyrene as a base polymer as used in the EB writing process in the processing of mask blanks.


Attempts were made to ameliorate resist sensitivity and pattern profile in a controlled way by properly selecting and combining components used in resist compositions and adjusting processing conditions. One outstanding problem is the diffusion of acid because acid diffusion has a significant impact on the resolution of a chemically amplified resist composition.


The quencher is, in fact, essential for controlling acid diffusion and improving resist performance, especially resolution. Studies have been made on the quencher while amines and weak acid onium salts have been generally used. The weak acid onium salts are exemplified in several patent documents. For example, Patent Document 5 describes that the addition of triphenylsulfonium acetate ensures to form a satisfactory resist pattern without T-top profile, a difference in line width between isolated and grouped patterns, and standing waves. Patent Document 6 describes the addition of ammonium salts of sulfonic acids or carboxylic acids for achieving improvements in sensitivity, resolution and exposure margin. Also, Patent Document 7 describes that a resist composition for KrF or EB lithography comprising a PAG capable of generating a fluorinated carboxylic acid is improved in resolution and process latitudes such as exposure margin and depth of focus. Patent Document 8 describes that a resist composition for F2 lithography using F2 laser comprising a PAG capable of generating a fluorinated carboxylic acid is improved in LER and overcomes the footing problem. These compositions are used in the KrF, EB and F2 lithography processes.


Patent Document 9 describes a positive photosensitive composition for ArF lithography comprising a carboxylic acid onium salt. This system is based on the mechanism that a salt exchange occurs between a weak acid onium salt and a strong acid (sulfonic acid) generated by a PAG upon exposure, to form a weak acid and a strong acid onium salt. That is, the strong acid (sulfonic acid) having high acidity is replaced by a weak acid (carboxylic acid), thereby suppressing acid-catalyzed decomposition reaction of acid labile group and reducing or controlling the distance of acid diffusion. The onium salt apparently functions as a quencher.


In addition to further improvements in roughness, resist compositions are recently demanded which are capable of forming not only line-and-space (LS), isolated line (IL) and isolated space (IS) patterns of satisfactory profile, but also dot patterns of satisfactory profile. Patent Document 10 describes an acid generator capable of generating a bulky acid with controlled diffusion, from which patterns having satisfactory resolution and roughness are obtainable, but the formation of dot patterns is accompanied with corner rounding.


CITATION LIST



  • Patent Document 1: JP-A 2006-201532

  • Patent Document 2: JP-A 2006-215180

  • Patent Document 3: JP-A 2008-249762

  • Patent Document 4: JP 6645464

  • Patent Document 5: JP 3955384 (U.S. Pat. No. 6,479,210)

  • Patent Document 6: JP-A H11-327143

  • Patent Document 7: JP 4231622 (U.S. Pat. No. 6,485,883)

  • Patent Document 8: JP 4116340 (U.S. Pat. No. 7,214,467)

  • Patent Document 9: JP 4226803 (U.S. Pat. No. 6,492,091)

  • Patent Document 10: JP 6248882



SUMMARY OF THE INVENTION

An object of the invention is to provide a chemically amplified negative resist composition which exhibits an improved resolution upon pattern formation and forms a pattern with reduced LER and high fidelity, and a resist pattern forming process using the same.


The inventors have found that when a sulfonium salt having formula (A1) and/or an iodonium salt having formula (A2), defined below, is added to a resist composition, the salt generates an acid of appropriate structure which is effective for restraining diffusion. A pattern with minimal LER is obtainable from the resist composition. A dot pattern of satisfactory rectangularity is obtainable by virtue of properly inhibited dissolution.


In one aspect, the invention provides a chemically amplified negative resist composition comprising (A) an acid generator containing at least one salt selected from a sulfonium salt having the formula (A1) and an iodonium salt having the formula (A2) and (B) a base polymer containing a polymer comprising repeat units having the formula (B1).




embedded image


Herein m is 0 or 1, p is an integer of 1 to 3, q is an integer of 1 to 5, r is an integer of 0 to 3,


L1 is a single bond, ether bond, ester bond, sulfonic ester bond, carbonate bond or carbamate bond,


L2 is an ether bond, ester bond, sulfonic ester bond, carbonate bond or carbamate bond,


X1 is a single bond or C1-C20 hydrocarbylene group when p is 1, and a C1-C20 (p+1)-valent hydrocarbon group when p is 2 or 3, the hydrocarbylene group and (p+1)-valent hydrocarbon group may contain at least one moiety selected from an ether bond, carbonyl, ester bond, amide bond, sultone ring, lactam ring, carbonate bond, halogen, hydroxy and carboxy moiety,


Rf1 and Rf2 are each independently hydrogen, fluorine or trifluoromethyl, at least one of Rf1 and Rf2 is fluorine or trifluoromethyl,


R1 is hydroxy, carboxy, C1-C6 saturated hydrocarbyl group, C1-C6 saturated hydrocarbyloxy group, C2-C6 saturated hydrocarbylcarbonyloxy group, fluorine, chlorine, bromine, amino, —N(R1A)—C(═O)—R1B or —N(R1A)—C(═O)—O—R1B, R1A is hydrogen or a C1-C6 saturated hydrocarbyl group, R1B is a C1-C6 saturated hydrocarbyl group or C2-C8 unsaturated aliphatic hydrocarbyl group,


R2 is a C1-C20 saturated hydrocarbylene group or C6-C14 arylene group, some or all of the hydrogen atoms in the saturated hydrocarbylene group may be substituted by halogen other than fluorine, some or all of the hydrogen atoms in the arylene group may be substituted by a substituent selected from C1-C20 saturated hydrocarbyl groups, C1-C20 saturated hydrocarbyloxy groups, C6-C14 aryl groups, halogen, and hydroxy,


R3 to R7 are each independently fluorine, chlorine, bromine, iodine or C1-C20 hydrocarbyl group, the hydrocarbyl group may contain at least one element selected from oxygen, sulfur, nitrogen and halogen, and R3 and R4 may bond together to form a ring with the sulfur atom to which they are attached.




embedded image


Herein a1 is 0 or 1, a2 is an integer of 0 to 2, a3 is an integer satisfying 0≤a3≤5+2a2−a4, and a4 is an integer of 1 to 3


RA is hydrogen, fluorine, methyl or trifluoromethyl,


R11 is halogen, an optionally halogenated C1-C6 saturated hydrocarbyl group, optionally halogenated C1-C6 saturated hydrocarbyloxy group, or optionally halogenated C2-C8 saturated hydrocarbylcarbonyloxy group,


A1 is a single bond or a C1-C10 saturated hydrocarbylene group in which any constituent —CH2— may be replaced by —O—.


In a preferred embodiment, component (A) is an onium salt having the formula (A3).




embedded image


Herein p, q, r, X1, R1, R3, R4 and R5 are as defined above,


n is an integer of 1 to 4,


R2A is a C1-C20 saturated hydrocarbyl group, C1-C20 saturated hydrocarbyloxy group, C6-C14 aryl group, halogen or hydroxy group.


In a preferred embodiment, the polymer further comprises repeat units having the formula (B2).




embedded image


Herein b1 is 0 or 1, b2 is an integer of 0 to 2, b3 is an integer satisfying 0 b3 5+2b2-b4, b4 is an integer of 1 to 3,


RA is hydrogen, fluorine, methyl or trifluoromethyl,


R12 is halogen, an optionally halogenated C1-C6 saturated hydrocarbyl group, optionally halogenated C1-C6 saturated hydrocarbyloxy group, or optionally halogenated C2-C8 saturated hydrocarbylcarbonyloxy group,


R13 and R14 are each independently hydrogen, a C1-C15 saturated hydrocarbyl group which may be substituted with hydroxy or saturated hydrocarbyloxy moiety, or an optionally substituted aryl group, with the proviso that both R13 and R14 are not hydrogen at the same time, and R13 and R14 may bond together to form a ring with the carbon atom to which they are attached,


A2 is a single bond or C1-C10 saturated hydrocarbylene group in which any constituent —CH2— may be replaced by —O—, and


W1 is hydrogen, a C1-C10 aliphatic hydrocarbyl group or optionally substituted aryl group.


In a preferred embodiment, the polymer further comprises repeat units of at least one type selected from repeat units having the formula (B3), repeat units having the formula (B4), and repeat units having the formula (B5).




embedded image


Herein c and d are each independently an integer of 0 to 4, e1 is 0 or 1, e2 is an integer of 0 to 5, and e3 is an integer of 0 to 2,


RA is hydrogen, fluorine, methyl or trifluoromethyl,


R21 and R22 are each independently hydroxy, halogen, an optionally halogenated C1-C8 saturated hydrocarbyl group, optionally halogenated C1-C8 saturated hydrocarbyloxy group, or optionally halogenated C2-C8 saturated hydrocarbylcarbonyloxy group,


R23 is a C1-C20 saturated hydrocarbyl group, C1-C20 saturated hydrocarbyloxy group, C2-C20 saturated hydrocarbylcarbonyloxy group, C2-C20 saturated hydrocarbyloxyhydrocarbyl group, C2-C20 saturated hydrocarbylthiohydrocarbyl group, halogen, nitro group, cyano group, sulfinyl group, or sulfonyl group,


A3 is a single bond or C1-C10 saturated hydrocarbylene group in which any constituent —CH2— may be replaced by —O—.


In a preferred embodiment, the polymer further comprises repeat units of at least one type selected from repeat units having the formulae (B6) to (B13).




embedded image


embedded image


Herein RB is each independently hydrogen or methyl,


Y1 is a single bond, a C1-C6 aliphatic hydrocarbylene group, phenylene group, naphthylene group or C7-C18 group obtained by combining the foregoing, —O—Y11—, —C(═O)—O—Y11—, or —C(═O)—NH—Y11—, Y11 is a C1-C6 aliphatic hydrocarbylene group, phenylene group, naphthylene group or C7-C18 group obtained by combining the foregoing, which may contain a carbonyl moiety, ester bond, ether bond or hydroxy moiety,


Y2 is a single bond or —Y21—C(═O)—O—, Y21 is a C1-C20 hydrocarbylene group which may contain a heteroatom,


Y3 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, trifluoromethyl-substituted phenylene, —O—Y31—, —C(═O)—O—Y31—, or —C(═O)—NH—Y31—, Y31 is a C1-C6 aliphatic hydrocarbylene group, phenylene group, fluorinated phenylene group, trifluoromethyl-substituted phenylene group, or C7-C20 group obtained by combining the foregoing, which may contain a carbonyl moiety, ester bond, ether bond or hydroxy moiety,


Y4 is a single bond or C1-C30 hydrocarbylene group which may contain a heteroatom, f1 and f2 are each independently 0 or 1, f1 and f2 are 0 when Y4 is a single bond,


R31 to R48 are each independently a C1-C20 hydrocarbyl group which may contain a heteroatom, R31 and R32 may bond together to form a ring with the sulfur atom to which they are attached, R33 and R34, R36 and R37, or R39 and R41 may bond together to form a ring with the sulfur atom to which they are attached,


RHF is hydrogen or trifluoromethyl, and


Xa is a non-nucleophilic counter ion.


In a preferred embodiment, the polymer further comprises repeat units having the formula (B1-1), repeat units having the formula (B2-1) or repeat units having the formula (B2-2), and repeat units having the formula (B7):




embedded image


wherein a4, b4, RA, RB, Y2, R13, R14, R33, R34, R35, and RHF are as defined above.


In a preferred embodiment, the base polymer (B) further contains a polymer comprising repeat units having formula (B1) and repeat units having formula (B2), but not repeat units having formulae (B6) to (B13).


Preferably, repeat units having an aromatic ring structure account for at least 60 mol % of the overall repeat units of the polymer in the base polymer.


The negative resist composition may further comprise (C) a crosslinker.


In another embodiment, the negative resist composition is free of a crosslinker.


The negative resist composition may further comprise (D) a fluorinated polymer comprising repeat units of at least one type selected from repeat units having the formula (D1), repeat units having the formula (D2), repeat units having the formula (D3) and repeat units having the formula (D5) and optionally repeat units of at least one type selected from repeat units having the formula (D5) and repeat units having the formula (D6).




embedded image


Herein RC is each independently hydrogen, fluorine, methyl or trifluoromethyl,


RD is each independently hydrogen or methyl,


R101, R102, R104 and R105 are each independently hydrogen or a C1-C10 saturated hydrocarbyl group,


R103, R106, R107 and R108 are each independently hydrogen, a C1-C15 hydrocarbyl group, C1-C15 fluorinated hydrocarbyl group, or acid labile group, and when R103, R106, R107 and R108 each are a hydrocarbyl or fluorinated hydrocarbyl group, an ether bond or carbonyl moiety may intervene in a carbon-carbon bond,


R109 is hydrogen or a C1-C5 straight or branched hydrocarbyl group in which a heteroatom-containing moiety may intervene in a carbon-carbon bond,


R110 is a C1-C5 straight or branched hydrocarbyl group in which a heteroatom-containing moiety may intervene in a carbon-carbon bond,


R111 is a C1-C20 saturated hydrocarbyl group in which at least one hydrogen is substituted by fluorine, and in which some constituent —CH2— may be replaced by an ester bond or ether bond,


x is an integer of 1 to 3, y is an integer satisfying 0≤y≤5+2z−x, z is 0 or 1, g is an integer of 1 to 3,


Z1 is a C1-C20 (g+1)-valent hydrocarbon group or C1-C20 (g+1)-valent fluorinated hydrocarbon group,


Z2 is a single bond, *—C(═O)—O— or *—C(═O)—NH—, * designates a point of attachment to the carbon atom in the backbone,


Z3 is a single bond, —O—, *—C(═O)═O—Z31—Z32— or *—C(═O)—NH—Z31-Z32—, Z31 is a single bond or C1-C10 saturated hydrocarbylene group, Z32 is a single bond, ester bond, ether bond, or sulfonamide bond, and * designates a point of attachment to the carbon atom in the backbone.


The negative resist composition may further comprise (E) a quencher. Preferably, the acid generator (A) and the quencher (E) are present in a weight ratio of less than 6/1.


The negative resist composition may further comprise (F) an organic solvent.


In another aspect, the invention provides a resist pattern forming process comprising the steps of applying the chemically amplified negative resist composition defined herein onto a substrate to form a resist film thereon, exposing the resist film patternwise to high-energy radiation, and developing the exposed resist film in an alkaline developer.


Most often, the high-energy radiation is EUV or EB.


The substrate often has the outermost surface of a material containing at least one element selected from chromium, silicon, tantalum, molybdenum, cobalt, nickel, tungsten, and tin.


The substrate is typically a mask blank of transmission or reflection type.


In a further aspect, the invention provides a mask blank of transmission or reflection type which is coated with the chemically amplified negative resist composition defined herein.


Advantageous Effects of Invention

Owing to the sulfonium salt having formula (A1) and/or iodonium salt having formula (A2), the chemically amplified negative resist composition of the invention is effective for controlling acid diffusion during the exposure step. When the composition is coated as a resist film and processed to form a pattern, the resist film exhibits a very high resolution during pattern formation and forms a pattern with high fidelity and reduced LER. Owing to the repeat units having formula (B1), when the resist composition is coated onto a substrate to form a resist film, the adhesion of the composition to the substrate is improved. Also, the dissolution of the resist film in alkaline developer is controlled.







DETAILED DESCRIPTION OF THE INVENTION

As used herein, the singular forms “a,” “an” and “the” include plural referents unless the context clearly dictates otherwise. “Optional” or “optionally” means that the subsequently described event or circumstances may or may not occur, and that description includes instances where the event or circumstance occurs and instances where it does not. The notation (Cn-Cm) means a group containing from n to m carbon atoms per group. In chemical formulae, Me stands for methyl, Ac stands for acetyl, and the broken line designates a valence bond. The terms “group” and “moiety” are interchangeable.


The abbreviations and acronyms have the following meaning.

  • EB: electron beam
  • EUV: extreme ultraviolet
  • Mw: weight average molecular weight
  • Mn: number average molecular weight
  • Mw/Mn: molecular weight distribution or dispersity
  • GPC: gel permeation chromatography
  • PEB: post-exposure bake
  • PAG: photoacid generator
  • LER: line edge roughness


It is understood that for some structures represented by chemical formulae, there can exist enantiomers and diastereomers because of the presence of asymmetric carbon atoms. In such a case, a single formula collectively represents all such isomers. The isomers may be used alone or in admixture.


Negative Resist Composition


One embodiment of the invention is a chemically amplified negative resist composition comprising (A) an acid generator containing at least one salt selected from a sulfonium salt having the formula (A1) and an iodonium salt having the formula (A2) and (B) a base polymer containing a polymer comprising repeat units having the formula (B1).


(A) Acid Generator


The acid generator as component (A) contains at least one salt selected from a sulfonium salt having the formula (A1) and an iodonium salt having the formula (A2).




embedded image


In formulae (A1) and (A2), m is 0 or 1, p is an integer of 1 to 3, q is an integer of 1 to 5, and r is an integer of 0 to 3.


In formulae (A1) and (A2), L1 is a single bond, ether bond, ester bond, sulfonic ester bond, carbonate bond or carbamate bond. L2 is an ether bond, ester bond, sulfonic ester bond, carbonate bond or carbamate bond.


In formulae (A1) and (A2), X1 is a single bond or C1-C20 hydrocarbylene group when p is 1, and a C1-C20 (p+1)-valent hydrocarbon group when p is 2 or 3. The hydrocarbylene group and (p+1)-valent hydrocarbon group may contain at least one moiety selected from an ether bond, carbonyl, ester bond, amide bond, sultone ring, lactam ring, carbonate bond, halogen, hydroxy and carboxy moiety.


The C1-C20 hydrocarbylene group represented by X1 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C20 alkanediyl groups such as methanediyl, ethane-1,1-diyl, ethane-1,2-diyl, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, heptane-1,7-diyl, octane-1,8-diyl, nonane-1,9-diyl, decane-1,10-diyl, undecane-1,11-diyl, and dodecane-1,12-diyl; C3-C20 cyclic saturated hydrocarbylene groups such as cyclopentanediyl, cyclohexanediyl, norbornanediyl and adamantanediyl; C2-C20 unsaturated aliphatic hydrocarbylene groups such as vinylene and propene-1,3-diyl; C6-C20 arylene groups such as phenylene and naphthylene; and combinations thereof. The C1-C20 (p+1)-valent hydrocarbon group represented by X1 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include groups obtained by removing one or two hydrogen atoms from the aforementioned examples of the C1-C20 hydrocarbylene group.


In formulae (A1) and (A2), Rf1 and Rf2 are each independently hydrogen, fluorine or trifluoromethyl. At least one of Rf1 and Rf2 is fluorine or trifluoromethyl.


In formulae (A1) and (A2), R1 is hydroxy, carboxy, C1-C6 saturated hydrocarbyl group, C1-C6 saturated hydrocarbyloxy group, C2-C6 saturated hydrocarbylcarbonyloxy group, fluorine, chlorine, bromine, amino, —N(R1A)—C(═O)—R1B or —N(R1A)—C(═O)—O—R1B, wherein R1A is hydrogen or a C1-C6 saturated hydrocarbyl group, and R1B is a C1-C6 saturated hydrocarbyl group or C2-C8 unsaturated aliphatic hydrocarbyl group.


The C1-C6 saturated hydrocarbyl group represented by R1, R1A and R1B may be straight, branched or cyclic. Examples thereof include C1-C6 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, and n-hexyl; and C3-C6 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclobutyl, cyclopentyl, and cyclohexyl. Examples of the saturated hydrocarbyl moiety in the C1-C6 saturated hydrocarbyloxy group represented by R1 are as exemplified above for the saturated hydrocarbyl group. Examples of the saturated hydrocarbyl moiety in the C2-C6 saturated hydrocarbylcarbonyloxy group represented by R1 are as exemplified above for the C1-C6 saturated hydrocarbyl group, but of 1 to 5 carbon atoms.


The C2-C8 unsaturated aliphatic hydrocarbyl group represented by R1B may be straight, branched or cyclic and examples thereof include C2-C8 alkenyl groups such as vinyl, propenyl, butenyl, and hexenyl; C2-C8 alkynyl groups such as ethylnyl, propynyl, and butynyl; and C3-C8 cyclic unsaturated aliphatic hydrocarbyl groups such as cyclohexenyl and norbornenyl.


In formulae (A1) and (A2), R2 is a C1-C20 saturated hydrocarbylene group or C6-C14 arylene group. Some or all of the hydrogen atoms in the saturated hydrocarbylene group may be substituted by halogen other than fluorine. Some or all of the hydrogen atoms in the arylene group may be substituted by a substituent selected from C1-C20 saturated hydrocarbyl moieties, C1-C20 saturated hydrocarbyloxy moieties, C6-C14 aryl moieties, halogen, and hydroxy.


The C1-C20 saturated hydrocarbylene group represented by R2 may be straight, branched or cyclic, and examples thereof are as exemplified above for the C1-C20 hydrocarbylene group X1.


Examples of the C6-C14 arylene group represented by R2 include phenylene, naphthylene, phenanthrenediyl, and anthracenediyl. The C1-C20 saturated hydrocarbyl group and hydrocarbyl moiety in the C1-C20 hydrocarbyloxy group, which are substituents on the arylene group, may be straight, branched or cyclic and examples thereof include C1-C20 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, undecyl, dodecyl, tridecyl, tetradecyl, pentadecyl, heptadecyl, octadecyl, nonadecyl, and icosyl; and C3-C20 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclopentyl, cyclohexyl, cyclopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl and adamantyl. Examples of the C6-C14 aryl moiety which is a substituent on the arylene group include phenyl, naphthyl, phenanthryl and anthryl.


In formulae (A1) and (A2), R3 to R7 are each independently fluorine, chlorine, bromine, iodine or C1-C20 hydrocarbyl group. The hydrocarbyl group may contain at least one element selected from oxygen, sulfur, nitrogen and halogen.


The C1-C20 hydrocarbyl groups represented by R3 to R7 may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C20 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, undecyl, dodecyl, tridecyl, tetradecyl, pentadecyl, heptadecyl, octadecyl, nonadecyl, and icosyl; C3-C20 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclopentyl, cyclohexyl, cyclopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, and adamantyl; C2-C20 alkenyl groups such as vinyl, propenyl, butenyl and hexenyl; C3-C20 cyclic unsaturated aliphatic hydrocarbyl groups such as cyclohexenyl and norbornenyl; C2-C20 alkynyl groups such as ethynyl, propynyl and butynyl; C6-C20 aryl groups such as phenyl, methylphenyl, ethylphenyl, n-propylphenyl, isopropylphenyl, n-butylphenyl, isobutylphenyl, sec-butylphenyl, tert-butylphenyl, naphthyl, methylnaphthyl, ethylnaphthyl, n-propylnaphthyl, isopropylnaphthyl, n-butylnaphthyl, isobutylnaphthyl, sec-butylnaphthyl, and tert-butylnaphthyl; C7-C20 aralkyl groups such as benzyl and phenethyl; and combinations thereof. In the foregoing hydrocarbyl groups, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy, fluorine, chlorine, bromine, iodine, cyano, nitro, carbonyl, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)—) or haloalkyl moiety.


Also, R3 and R4 may bond together to form a ring with the sulfur atom to which they are attached. Examples of the ring are shown below.




embedded image


The preferred acid generator (A) is an onium salt having the formula A3).




embedded image


In formula (A3), p, q, r, X1, R1, R3, R4 and R5 are as defined above, and n is an integer of 1 to 4. R2A is a C1-C20 saturated hydrocarbyl group, C1-C20 saturated hydrocarbyloxy group, C6-C14 aryl group, halogen or hydroxy group. When n is an integer of 2 to 4, a plurality of R2A may be the same or different.


Examples of the anion in the sulfonium salt having formula (A1) and the iodonium salt having formula (A2) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the cation in the sulfonium salt having formula (A1) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


Examples of the cation in the iodonium salt having formula (A2) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


The sulfonium salt having formula (A1) or the iodonium salt having formula (A2) effectively functions as the optimum acid generator (or photoacid generator) when applied to chemically amplified negative resist compositions.


For the synthesis of the sulfonium salt having formula (A1) or the iodonium salt having formula (A2), reference should be made to JP-A 2010-155824 (U.S. Pat. No. 8,394,570). For example, the salt can be synthesized by esterifying the hydroxy group in a sulfonium or iodonium salt of a hydroxy-bearing sulfonic acid such as isethionic acid with an iodized benzoic acid.


In the chemically amplified negative resist composition, the sulfonium salt having formula (A1) and/or the iodonium salt having formula (A2) is preferably present in an amount of 0.001 to 50 parts by weight, more preferably 0.01 to 40 parts by weight per 80 parts by weight of the base polymer (B) to be described later, in view of sensitivity and acid diffusion-suppressing effect.


In the resist composition, an acid generator other than the sulfonium salt having formula (A1) and the iodonium salt having formula (A2), which is referred to as other acid generator, hereinafter, may be added for the purpose of correcting the profile of patterns. The other acid generator may be selected from well-known acid generators for resist compositions. The amount of the other acid generator is preferably 0 to 40 parts by weight, more preferably 0 to 30 parts by weight per 80 parts by weight of the base polymer (B) in view of sensitivity and acid diffusion-suppressing effect. The other acid generator may be used alone or in admixture.


(B) Base Polymer


The base polymer as component (B) contains a polymer comprising repeat units having the following formula (B1). Notably, the polymer is referred to as polymer B and the units having formula (B1) are also referred to as units B1. The repeat units B1 are effective for providing etch resistance, adhesion to substrates, and solubility in alkaline developer.




embedded image


In formula (B1), a1 is 0 or 1. The subscript a2 is an integer of 0 to 2. The corresponding structure represents a benzene skeleton when a2=0, a naphthalene skeleton when a2=1, and an anthracene skeleton when a2=2. The subscript a3 is an integer in the range: 0≤a3≤5+2a2−a4, and a4 is an integer of 1 to 3. In case of a2=0, preferably a3 is an integer of 0 to 3, and a4 is an integer of 1 to 3. In case of a2=1 or 2, preferably a3 is an integer of 0 to 4, and a4 is an integer of 1 to 3.


In formula (B1), RA is hydrogen, fluorine, methyl or trifluoromethyl.


In formula (B1), R11 is halogen, an optionally halogenated C1-C6 saturated hydrocarbyl group, optionally halogenated C1-C6 saturated hydrocarbyloxy group or optionally halogenated C2-C8 saturated hydrocarbylcarbonyloxy group. The saturated hydrocarbyl group and saturated hydrocarbyl moiety in the saturated hydrocarbyloxy group and saturated hydrocarbylcarbonyloxy group may be straight, branched or cyclic. Examples thereof include alkyl groups such as methyl, ethyl, propyl, isopropyl, butyl, pentyl and hexyl; cycloalkyl groups such as cyclopropyl, cyclobutyl, cyclopentyl and cyclohexyl; and combinations thereof. A carbon count within the upper limit ensures a sufficient solubility in alkaline developer. When a3 is 2 or more, a plurality of groups R11 may be identical or different.


In formula (B1), A1 is a single bond or a C1-C10 saturated hydrocarbylene group in which any constituent —CH2— may be replaced by —O—. The saturated hydrocarbylene group may be straight, branched or cyclic and examples thereof include alkanediyl groups such as methylene, ethane-1,2-diyl, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, and structural isomers thereof; cyclic saturated hydrocarbylene groups such as cyclopropanediyl, cyclobutanediyl, cyclopentanediyl, and cyclohexanediyl; and combinations thereof. For the saturated hydrocarbylene group containing an ether bond, in case of a1=1 in formula (B1), the ether bond may be incorporated at any position excluding the position between the α- and β-carbons relative to the ester oxygen. In case of a1=0, the atom bonding to the backbone becomes an ether oxygen atom, and a second ether bond may be incorporated at any position excluding the position between the α- and β-carbons relative to the ether oxygen. Saturated hydrocarbylene groups having no more than 10 carbon atoms are desirable because of a sufficient solubility in alkaline developer.


Preferred examples of the repeat units B1 wherein a1=0 and A1 is a single bond (meaning that the aromatic ring is directly bonded to the main chain of the polymer), that is, repeat units free of a linker: —C(═O)—O-A1- include units derived from 3-hydroxystyrene, 4-hydroxystyrene, 5-hydroxy-2-vinylnaphthalene, and 6-hydroxy-2-vinylnaphthalene. More preferred are repeat units having the formula (B1-1).




embedded image


Herein RA and a4 are as defined above.


Preferred examples of the repeat units B1 wherein a1=1, that is, having a linker: —CO—O-A1- are shown below, but not limited thereto.




embedded image


Herein RA is as defined above.


The repeat units B1 may be of one type or a combination of plural types.


The polymer B may further comprise repeat units having the formula (B2). Notably the repeat units having formula (B2) are simply referred to as repeat units B2. Of the polymers B, a polymer further comprising repeat units B2 is referred to as polymer B′.




embedded image


Upon exposure to high-energy radiation, the repeat unit B2 functions such that the acid labile group undergoes elimination reaction under the action of an acid which is generated by the acid generator. That is, the unit B2 induces insolubilization in alkaline developer and crosslinking reaction between polymer molecules. The repeat unit B2 provides for efficient progress of negative-working reaction, leading to an improvement in resolution performance.


In formula (B2), b1 is 0 or 1, b2 is an integer of 0 to 2, b3 is an integer in the range: 0≤b3≤5+2b2−b4, and b4 is an integer of 1 to 3.


In formula (B2), RA is hydrogen, fluorine, methyl or trifluoromethyl.


In formula (B2), R12 is each independently halogen, an optionally halogenated C1-C6 saturated hydrocarbyl group, optionally halogenated C1-C6 saturated hydrocarbyloxy group, or optionally halogenated C2-C8 saturated hydrocarbylcarbonyloxy group. The saturated hydrocarbyl group and saturated hydrocarbyl moiety in the saturated hydrocarbyloxy group and saturated hydrocarbylcarbonyloxy group may be straight, branched or cyclic. Examples thereof include alkyl groups such as methyl, ethyl, propyl, isopropyl, butyl, pentyl, hexyl and structural isomers thereof; cycloalkyl groups such as cyclopropyl, cyclobutyl, cyclopentyl, and cyclohexyl; and combinations thereof. When b3 is 2 or more, a plurality of groups R12 may be identical or different.


In formula (B2), R13 and R14 are each independently hydrogen, a C1-C15 saturated hydrocarbyl group which may be substituted with hydroxy or saturated hydrocarbyl moiety, or an optionally substituted aryl group. It is excluded that R13 and R14 are hydrogen at the same time. R13 and R14 may bond together to form a ring with the carbon atom to which they are attached. R13 and R14 are preferably selected from alkyl groups such as methyl, ethyl, propyl, butyl and structural isomers thereof, and substituted forms of the foregoing alkyl groups in which some hydrogen is substituted by a hydroxy or saturated hydrocarbyloxy moiety.


In formula (B2), A2 is a single bond or C1-C10 saturated hydrocarbylene group in which a constituent —CH2— may be replaced by —O—. The saturated hydrocarbylene group may be straight, branched or cyclic and examples thereof include alkanediyl groups such as methylene, ethane-1,2-diyl, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, and structural isomers thereof; cyclic saturated hydrocarbylene groups such as cyclopropanediyl, cyclobutanediyl, cyclopentanediyl, and cyclohexanediyl; and combinations thereof. For the saturated hydrocarbylene group containing an ether bond, in case of b1=1 in formula (B2), the ether bond may be incorporated at any position excluding the position between the α- and β-carbons relative to the ester oxygen. In case of b1=0, the atom bonding to the backbone becomes an ether oxygen atom, and a second ether bond may be incorporated at any position excluding the position between the α- and β-carbons relative to the ether oxygen.


In formula (B2), W1 is hydrogen, a C1-C10 aliphatic hydrocarbyl group, or an optionally substituted aryl group. The aliphatic hydrocarbyl group may be straight, branched or cyclic and examples thereof include alkyl groups such as methyl, ethyl, propyl, and isopropyl; and cyclic aliphatic hydrocarbyl groups such as cyclopentyl, cyclohexyl, and adamantyl. Typical of the aryl groups is phenyl. In the aliphatic hydrocarbyl group, a constituent —CH2— may be replaced by —O—, —C(═O)—, −O—C(═O)− or —C(═O)—O—. The constituent —CH2— in the hydrocarbyl group may be one bonded to the oxygen atom in formula (B2). Typical of the replaced group is methylcarbonyl.


Of the repeat units B2, repeat units having formula (B2-1) or (B2-2) are preferred.




embedded image


Herein RA, R13, R14, and b4 are as defined above.


Preferred examples of the repeat unit B2 are given below, but not limited thereto. Herein RA is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


embedded image


The repeat unit B2 may be of one type or a combination of plural types.


For the purpose of improving etch resistance, preferably the polymer B further comprises repeat units of at least one type selected from repeat units having the formula (B3), repeat units having the formula (B4) and repeat units having the formula (B5). Notably these units are simply referred to as repeat units B3, B4 and B5.




embedded image


In formulae (B3) and (B4), c and d are each independently an integer of 0 to 4.


In formulae (B3) and (B4), R21 and R22 are each independently hydroxy, halogen, an optionally halogenated C1-C8 saturated hydrocarbyl group, optionally halogenated C1-C8 saturated hydrocarbyloxy group, or optionally halogenated C2-C8 saturated hydrocarbylcarbonyloxy group. The saturated hydrocarbyl group, saturated hydrocarbyloxy group, and saturated hydrocarbylcarbonyloxy group may be straight, branched or cyclic. When c is 2 or more, a plurality of groups R2 may be identical or different. When d is 2 or more, a plurality of groups R22 may be identical or different.


In formula (B5), e1 is 0 or 1, and e2 is an integer of 0 to 5. The subscript e3 is an integer of 0 to 2; the corresponding structure represents a benzene skeleton when e3=0, a naphthalene skeleton when e3=1, and an anthracene skeleton when e3=2. In case e3=0, preferably e2 is an integer of 0 to 3; in case e3=1 or 2, preferably e2 is an integer of 0 to 4.


In formula (B5), RA is as defined above. R23 is a C1-C20 saturated hydrocarbyl group, C1-C20 saturated hydrocarbyloxy group, C2-C20 saturated hydrocarbylcarbonyloxy group, C2-C20 saturated hydrocarbyloxyhydrocarbyl group, C2-C20 saturated hydrocarbylthiohydrocarbyl group, halogen atom, nitro group, cyano group, sulfinyl group, or sulfonyl group. The saturated hydrocarbyl group, saturated hydrocarbyloxy group, saturated hydrocarbylcarbonyloxy group, saturated hydrocarbyloxyhydrocarbyl group, and saturated hydrocarbylthiohydrocarbyl group may be straight, branched or cyclic. When e2 is 2 or more, a plurality of groups R23 may be identical or different.


R23 is preferably selected from halogen atoms such as chlorine, bromine and iodine; saturated hydrocarbyl groups such as methyl, ethyl, propyl, butyl, pentyl, hexyl, cyclopentyl, cyclohexyl, and structural isomers thereof, and saturated hydrocarbyloxy groups such as methoxy, ethoxy, propoxy, butoxy, pentyloxy, hexyloxy, cyclopentyloxy, cyclohexyloxy, and structural isomers of their hydrocarbon moiety. Inter alia, methoxy and ethoxy are most useful.


The saturated hydrocarbylcarbonyloxy group may be readily introduced into a polymer even after polymerization, by a chemical modification method and is advantageously utilized for fine adjustment of the solubility of the polymer in alkaline developer. Examples of the saturated hydrocarbylcarbonyloxy group include methylcarbonyloxy, ethylcarbonyloxy, propylcarbonyloxy, butylcarbonyloxy, pentylcarbonyloxy, hexylcarbonyloxy, cyclopentylcarbonyloxy, cyclohexylcarbonyloxy, benzoyloxy, and structural isomers of their hydrocarbon moiety. As long as the carbon count is equal to or less than 20, an appropriate effect of controlling or adjusting (typically reducing) the solubility of the polymer in alkaline developer is obtainable, and the generation of scum or development defects may be suppressed.


Of the foregoing preferred substituent groups, such substituent groups as chlorine, bromine, iodine, methyl, ethyl and methoxy are useful because the corresponding monomers may be readily prepared.


In formula (B5), A3 is a single bond or C1-C10 saturated hydrocarbylene group in which any constituent —CH2— may be replaced by —O—. The saturated hydrocarbylene group may be straight, branched or cyclic. Examples thereof include alkanediyl groups such as methylene, ethane-1,2-diyl, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, and structural isomers thereof; cyclic saturated hydrocarbylene groups such as cyclopropanediyl, cyclobutanediyl, cyclopentanediyl, and cyclohexanediyl; and combinations thereof. For the saturated hydrocarbylene group containing an ether bond, in case of e1=1 in formula (B5), the ether bond may be incorporated at any position excluding the position between the α- and β-carbons relative to the ester oxygen. In case of e1=0, the atom bonding to the backbone becomes an ether oxygen atom, and a second ether bond may be incorporated at any position excluding the position between the α- and β-carbons relative to the ether oxygen. Saturated hydrocarbylene groups having no more than 10 carbon atoms are desirable because of a sufficient solubility in alkaline developer.


Preferred examples of the repeat units B5 wherein e1 is 0 and A3 is a single bond (meaning that the aromatic ring is directly bonded to the main chain of the polymer), that is, repeat units free of the linker: —C(═O)—O-A3- include units derived from styrene, 4-chlorostyrene, 4-bromostyrene, 4-methylstyrene, 4-methoxystyrene, 4-acetoxystyrene, 2-hydroxypropylstyrene, 2-vinylnaphthalene, and 3-vinylnaphthalene.


Preferred examples of the repeat units B5 wherein e1 is 1, that is, having the linker: —C(═O)—O-A3- are shown below, but not limited thereto. RA is as defined above.




embedded image


embedded image


embedded image


When repeat units of at least one type selected from repeat units B3 to B5 are incorporated, better performance is obtained because not only the aromatic ring possesses etch resistance, but the cyclic structure incorporated into the main chain also exerts the effect of improving resistance to etching and EB irradiation during pattern inspection step.


The repeat units B3 to B5 may be of one type or a combination of plural types.


The polymer B′ may further comprise repeat units of at least one type selected from repeat units having the formula (B6), repeat units having the formula (B7), repeat units having the formula (B8), repeat units having the formula (B9), repeat units having the formula (B10), repeat units having the formula (B11), repeat units having the formula (B12), and repeat units having the formula (B13). Notably these repeat units are also referred to as repeat units B6 to B13.




embedded image


embedded image


In formulae (B6) to (B13), RB is each independently hydrogen or methyl. Z1 is a single bond, a C1-C6 aliphatic hydrocarbylene group, phenylene group, naphthylene group, or C7-C18 group obtained by combining the foregoing, −O−Z11−, —C(═O)—O—Z11−, or —C(═O)—NH—Z11−, wherein Z11 is a C1-C6 aliphatic hydrocarbylene group, phenylene group, naphthylene group, or C7-C18 group obtained by combining the foregoing, which may contain a carbonyl moiety, ester bond, ether bond or hydroxy moiety. Z2 is a single bond or −Z21—C(═O)—O—, wherein Z21 is a C1-C20 hydrocarbylene group which may contain a heteroatom. Z3 is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, trifluoromethyl-substituted phenylene group, —O—Z31−, —C(═O)—O—Z31−, or —C(═O)—NH—Z31—, wherein Z31 is a C1-C6 aliphatic hydrocarbylene group, phenylene group, fluorinated phenylene group, trifluoromethyl-substituted phenylene group, or C7-C20 group obtained by combining the foregoing, which may contain a carbonyl moiety, ester bond, ether bond or hydroxy moiety. Z4 is a single bond or a C1-C30 hydrocarbylene group which may contain a heteroatom. The subscripts f1 and f2 are each independently 0 or 1. When Z4 is a single bond, f1 and f2 are 0.


In formulae (B7) and (B11) wherein Z2 is —Z21—C(═O)—O—, Z21 is a C1-C20 hydrocarbylene group which may contain a heteroatom, examples of which are shown below, but not limited thereto.




embedded image


In formulae (B7) and (B111), RHF is hydrogen or trifluoromethyl. Examples of the repeat units B7 and B11 wherein RHF is hydrogen include those described in JP-A 2010-116550. Examples of the repeat units B7 and B11 wherein RHF is trifluoromethyl include those described in JP-A 2010-077404. Examples of the repeat units B8 and B12 include those described in JP-A 2012-246265 and JP-A 2012-246426.


In formulae (B6) and (B10), Xa is a non-nucleophilic counter ion, examples of which include those described in JP-A 2010-113209 and JP-A 2007-145797.


Preferred examples of the anion in the monomers from which repeat units B9 and B13 are derived are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


In formulae (B6) to (B13), R31 to R48 are each independently a C1-C20 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic, and examples thereof are as exemplified above for the hydrocarbyl groups R3, R4 and R5 in formula (A1). In the hydrocarbyl group, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy moiety, fluorine, chlorine, bromine, iodine, cyano moiety, nitro moiety, carbonyl moiety, ether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)−) or haloalkyl moiety.


A pair of R31 and R32 may bond together to form a ring with the sulfur atom to which they are attached. Also, R33 and R34, R36 and R37, or R39 and R40 may bond together to form a ring with the sulfur atom to which they are attached. Examples of the ring are as exemplified for the ring that R3 and R4 in formula (A1), taken together, form with the sulfur atom to which they are attached.


Examples of the sulfonium cation in repeat units B7 to B9 are as exemplified for the cation in the sulfonium salt having formula (A1). Examples of the iodonium cation in repeat units B11 to B13 are as exemplified for the cation in the iodonium salt having formula (A2).


The repeat units B6 to B13 are capable of generating an acid upon receipt of high-energy radiation. With the relevant units bound into a polymer, an appropriate control of acid diffusion becomes possible, and a pattern with reduced LER can be formed. Since the acid-generating unit is bound to a polymer, the phenomenon that acid volatilizes from the exposed region and re-deposits on the unexposed region during bake in vacuum is suppressed. This is effective for reducing LER and for suppressing unwanted negative-working reaction in the unexposed region for thereby reducing defects.


Each of the repeat units B6 to B13 may be of one type or a combination of plural types.


In the polymer, (meth)acrylate and other repeat units having an adhesive group such as lactone structure or hydroxy group other than phenolic hydroxy may be incorporated for fine adjustment of properties of a resist film.


Examples of the (meth)acrylate unit having an adhesive group include repeat units having the following formulae (B14) to (B16), which are also referred to as repeat units B14 to B16. While these units do not exhibit acidity, they may be used as auxiliary units for providing adhesion to substrates or adjusting solubility.




embedded image


In formulae (B14) to (B16), RA is as defined above. R51 is —O— or methylene. R52 is hydrogen or hydroxy. R53 is a C1-C4 saturated hydrocarbyl group, and k is an integer of 0 to 3. Each of the repeat units B14 to B16 may be of one type or a combination of plural types.


In polymer B, an appropriate content of repeat units B1 is 30 to 95 mol %, more preferably 50 to 85 mol % for establishing a high contrast between a region which is exposed to high-energy radiation and turns negative and the unexposed region (which does not turn negative) for the purpose of achieving high resolution. An appropriate content of repeat units B2 is 5 to 70 mol %, more preferably 10 to 60 mol % for gaining the effect of promoting negative-working reaction. An appropriate content of repeat units B3 to B5 is 0 to 30 mol %, more preferably 3 to 20 mol % for gaining the effect of improving etch resistance. The other repeat units may be incorporated in a range of 0 to 30 mol %, preferably 0 to 20 mol %.


Where the polymer B′ is free of repeat units B6 to B13, the polymer B′ preferably contains 25 to 95 mol %, more preferably 40 to 85 mol % of repeat units B1. An appropriate content of repeat units B3 to B5 is 0 to 30 mol %, more preferably 3 to 20 mol %. An appropriate content of repeat units B2 is 5 to 70 mol %, more preferably 10 to 60 mol %. The other repeat units may be incorporated in a range of 0 to 30 mol %, preferably 0 to 20 mol %.


Where the polymer B′ contains repeat units B6 to B13, the polymer B′ preferably contains 25 to 94.5 mol %, more preferably 36 to 85 mol % of repeat units B1. An appropriate content of repeat units B3 to B5 is 0 to 30 mol %, more preferably 3 to 20 mol %. An appropriate content of repeat units B2 is 5 to 70 mol %, more preferably 10 to 60 mol %. The total content of repeat units B1 to B5 is preferably 60 to 99.5 mol %. An appropriate content of repeat units B6 to B13 is 0.5 to 20 mol %, more preferably 1 to 10 mol %. The other repeat units may be incorporated in a range of 0 to 30 mol %, preferably 0 to 20 mol %.


It is noted that the repeat units B1 to B5 preferably account for at least 60 mol %, more preferably at least 70 mol %, even more preferably at least 80 mol % of the overall repeat units of the polymer. This range ensures that the polymer has necessary properties as the chemically amplified negative resist composition.


Preferably, the polymer B′ comprises repeat units having the formula (B1-1), repeat units having the formula (B2-1) or (B2-2), and repeat units having the formula (B7).




embedded image


Herein RA, RB, Y2, R13, R14, R33, R34, R35, RHF, a4, and b4 are as defined above.


Where the polymer B′ is used as the base polymer (B), it may be a mixture of a polymer free of repeat units B6 to B13 and a polymer comprising repeat units B6 to B13. In this embodiment, the polymer free of repeat units B6 to B13 is preferably used in an amount of 2 to 5,000 parts, more preferably 10 to 1,000 parts by weight per 100 parts by weight of the polymer comprising repeat units B6 to B13.


Reference is now made to the use of the chemically amplified negative resist composition in the fabrication of photomasks. The lithography of the advanced generation employs a coating film having a thickness of up to 150 nm, preferably up to 100 nm. Since an intense development process is often employed to minimize defects resulting from resist residues, the base polymer should preferably have a dissolution rate in alkaline developer (typically 2.38 wt % tetramethylammonium hydroxide (TMAH) aqueous solution) of up to 80 nm/sec, more preferably up to 50 nm/sec in order to form a small size pattern. When the chemically amplified negative resist composition is used in the EUV lithography process for fabricating an LSI chip from a wafer, for example, the coating film often has a thickness of up to 100 nm, in view of the necessity of patterning narrow lines of 50 nm or less. In consideration of the risk that the pattern of such thin film can be degraded by development, the polymer preferably has a dissolution rate of up to 80 nm/sec, more preferably up to 50 nm/sec.


The polymer may be synthesized by combining suitable monomers optionally protected with a protective group, copolymerizing them in the standard way, and effecting deprotection reaction if necessary. The copolymerization reaction is preferably radical or anionic polymerization though not limited thereto. For the polymerization reaction, reference may be made to WO 2006/121096, JP-A 2004-115630, JP-A 2008-102383, and JP-A 2008-304590.


The polymer should preferably have a Mw of 1,000 to 50,000, and more preferably 2,000 to 20,000. A Mw of at least 1,000 eliminates the risk that pattern features are rounded at their top, inviting degradations of resolution and LER. A Mw of up to 50,000 eliminates the risk that LER is increased when a pattern with a line width of up to 100 nm is formed. As used herein, Mw is measured by GPC versus polystyrene standards.


The polymer preferably has a narrow molecular weight distribution or dispersity (Mw/Mn) of 1.0 to 2.0, more preferably 1.0 to 1.8. A polymer with such a narrow dispersity eliminates the risk that foreign particles are left on the pattern after development and the pattern profile is aggravated.


(C) Crosslinker


When the base polymer (B) does not contain polymer B′, the negative resist composition preferably comprises a crosslinker as component (C). When the base polymer (B) contains polymer B′, a crosslinker need not be added.


Suitable crosslinkers which can be used herein include epoxy compounds, melamine compounds, guanamine compounds, glycoluril compounds and urea compounds having substituted thereon at least one group selected from among methylol, alkoxymethyl and acyloxymethyl groups, isocyanate compounds, azide compounds, and compounds having a double bond such as an alkenyloxy group. These compounds may be used as an additive or introduced into a polymer side chain as a pendant. Hydroxy-containing compounds may also be used as the crosslinker.


Of the foregoing crosslinkers, examples of suitable epoxy compounds include tris(2,3-epoxypropyl) isocyanurate, trimethylolmethane triglycidyl ether, trimethylolpropane triglycidyl ether, and triethylolethane triglycidyl ether.


Examples of the melamine compound include hexamethylol melamine, hexamethoxymethyl melamine, hexamethylol melamine compounds having 1 to 6 methylol groups methoxymethylated and mixtures thereof, hexamethoxyethyl melamine, hexaacyloxymethyl melamine, hexamethylol melamine compounds having 1 to 6 methylol groups acyloxymethylated and mixtures thereof.


Examples of the guanamine compound include tetramethylol guanamine, tetramethoxymethyl guanamine, tetramethylol guanamine compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, tetramethoxyethyl guanamine, tetraacyloxyguanamine, tetramethylol guanamine compounds having 1 to 4 methylol groups acyloxymethylated and mixtures thereof.


Examples of the glycoluril compound include tetramethylol glycoluril, tetramethoxyglycoluril, tetramethoxymethyl glycoluril, tetramethylol glycoluril compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, tetramethylol glycoluril compounds having 1 to 4 methylol groups acyloxymethylated and mixtures thereof.


Examples of the urea compound include tetramethylol urea, tetramethoxymethyl urea, tetramethylol urea compounds having 1 to 4 methylol groups methoxymethylated and mixtures thereof, and tetramethoxyethyl urea.


Suitable isocyanate compounds include tolylene diisocyanate, diphenylmethane diisocyanate, hexamethylene diisocyanate and cyclohexane diisocyanate.


Suitable azide compounds include 1,1′-biphenyl-4,4′-bisazide, 4,4′-methylidenebisazide, and 4,4′-oxybisazide.


Examples of the alkenyloxy-containing compound include ethylene glycol divinyl ether, triethylene glycol divinyl ether, 1,2-propanediol divinyl ether, 1,4-butanediol divinyl ether, tetramethylene glycol divinyl ether, neopentyl glycol divinyl ether, trimethylol propane trivinyl ether, hexanediol divinyl ether, 1,4-cyclohexanediol divinyl ether, pentaerythritol trivinyl ether, pentaerythritol tetravinyl ether, sorbitol tetravinyl ether, sorbitol pentavinyl ether, and trimethylol propane trivinyl ether.


An appropriate amount of the crosslinker (C) used is 0.1 to 50 parts, and more preferably 1 to 30 parts by weight per 80 parts by weight of the base polymer (B). As long as the amount of the crosslinker is in the range, the risk of resolution being reduced by forming bridges between pattern features is mitigated. The crosslinkers may be used alone or in admixture.


(D) Fluorinated Polymer


The negative resist composition may further comprise a fluorinated polymer which contains repeat units of at least one type selected from repeat units having the formula (D1), repeat units having the formula (D2), repeat units having the formula (D3), and repeat units having the formula (D4), and which may contain repeat units of at least one type selected from repeat units having the formula (D5) and repeat units having the formula (D6), for the purposes of enhancing contrast, preventing chemical flare of acid upon exposure to high-energy radiation, preventing mixing of acid from an anti-charging film in the step of coating an anti-charging film-forming material on a resist film, and suppressing unexpected unnecessary pattern degradation. It is noted that repeat units having formulae (D1), (D2), (D3), (D4), (D5), and (D6) are also referred to as repeat units D1, D2, D3, D4, D5, and D6, respectively, hereinafter. Since the fluorinated polymer also has a surface active function, it can prevent insoluble residues from re-depositing onto the substrate during the development step and is thus effective for preventing development defects.




embedded image


In formulae (D1) to (D6), RC is each independently hydrogen, fluorine, methyl or trifluoromethyl. RD is each independently hydrogen or methyl. R101, R102, R104 and R105 are each independently hydrogen or a C1-C10 saturated hydrocarbyl group. R103, R106, R107 and R108 are each independently hydrogen, a C1-C15 hydrocarbyl group or fluorinated hydrocarbyl group, or an acid labile group, with the proviso that an ether bond or carbonyl moiety may intervene in a carbon-carbon bond in the hydrocarbyl groups or fluorinated hydrocarbyl groups represented by R103, R106, R107 and R108. R109 is hydrogen or a C1-C5 straight or branched hydrocarbyl group in which a heteroatom-containing moiety may intervene in a carbon-carbon bond. R110 is a C1-C5 straight or branched hydrocarbyl group in which a heteroatom-containing moiety may intervene in a carbon-carbon bond. R111 is a C1-C20 saturated hydrocarbyl group in which at least one hydrogen is substituted by fluorine and some constituent —CH2— may be replaced by an ester bond or ether bond. The subscript x is an integer of 1 to 3, y is an integer satisfying: 0≤y≤5+2z−x, z is 0 or 1, and g is an integer of 1 to 3. Z1 is a C1-C20 (g+1)-valent hydrocarbon group or C1-C20 (g+1)-valent fluorinated hydrocarbon group. Z2 is a single bond, *—C(═O)—O— or *—C(═O)—NH— wherein the asterisk (*) designates a point of attachment to the carbon atom in the backbone. Z3 is a single bond, —O—, *—C(═O)—O—Z31-Z32— or *—C(═O)—NH—Z31-Z32—, wherein Z31 is a single bond or a C1-C10 saturated hydrocarbylene group, Z32 is a single bond, ester bond, ether bond or sulfonamide bond, and the asterisk (*) designates a point of attachment to the carbon atom in the backbone.


In formulae (D1) and (D2), examples of the C1-C10 saturated hydrocarbyl group represented by R101, R102, R104 and R105 include C1-C10 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, n-hexyl, n-heptyl, n-octyl, n-nonyl, and n-decyl, and C3-C10 cyclic saturated hydrocarbyl groups such as cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, adamantyl, and norbornyl. Inter alia, C1-C6 saturated hydrocarbyl groups are preferred.


In formulae (D1) to (D4), examples of the C1-C15 hydrocarbyl group represented by R103, R106, R107 and R108 include C1-C15 alkyl, C2-C15 alkenyl and C2-C15 alkynyl groups, with the alkyl groups being preferred. Suitable alkyl groups include methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, n-pentyl, n-hexyl, n-heptyl, n-octyl, n-nonyl, n-decyl, n-undecyl, n-dodecyl, n-tridecyl, n-tetradecyl and n-pentadecyl. The fluorinated hydrocarbyl groups correspond to the foregoing hydrocarbyl groups in which some or all carbon-bonded hydrogen atoms are substituted by fluorine atoms.


In formula (D4), examples of the C1-C20 (g+1)-valent hydrocarbon group Z1 include the foregoing C1-C20 alkyl groups and C3-C20 cyclic saturated hydrocarbyl groups, with g number of hydrogen atoms being eliminated. Examples of the C1-C20 (g+1)-valent fluorinated hydrocarbon group Z1 include the foregoing (g+1)-valent hydrocarbon groups in which at least one hydrogen atom is substituted by fluorine.


Examples of the repeat units D1 to D4 are given below, but not limited thereto. Herein RC is as defined above.




embedded image


embedded image


embedded image


embedded image


In formula (D5), examples of the C1-C5 hydrocarbyl groups R109 and R110 include alkyl, alkenyl and alkynyl groups, with the alkyl groups being preferred. Suitable alkyl groups include methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, and n-pentyl. In these groups, a moiety containing a heteroatom such as oxygen, sulfur or nitrogen may intervene in a carbon-carbon bond.


In formula (D5), —OR109 is preferably a hydrophilic group. In this case, R109 is preferably hydrogen or a C1-C5 alkyl group in which oxygen intervenes in a carbon-carbon bond.


In formula (D5), Z2 is preferably *—C(═O)—O— or *—C(═O)—NH—. Also preferably RD is methyl. The inclusion of carbonyl in Z2 enhances the ability to trap the acid originating from the anti-charging film. A polymer wherein RD is methyl is a robust polymer having a high glass transition temperature which is effective for suppressing acid diffusion. As a result, the resist film is improved in stability with time, and neither resolution nor pattern profile is degraded.


Examples of the repeat unit D5 are given below, but not limited thereto. Herein RD is as defined above.




embedded image


embedded image


embedded image


In formula (D6), the C1-C10 saturated hydrocarbylene group Z3 may be straight, branched or cyclic and examples thereof include methanediyl, ethane-1,1-diyl, ethane-1,2-diyl, propane-1,1-diyl, propane-1,2-diyl, propane-1,3-diyl, propane-2,2-diyl, butane-1,1-diyl, butane-1,2-diyl, butane-1,3-diyl, butane-2,3-diyl, butane-1,4-diyl, and 1,1-dimethylethane-1,2-diyl.


The C1-C20 saturated hydrocarbyl group having at least one hydrogen substituted by fluorine, represented by R111, may be straight, branched or cyclic and examples thereof include C1-C20 alkyl groups and C3-C20 cyclic saturated hydrocarbyl groups in which at least one hydrogen is substituted by fluorine.


Examples of the repeat unit D6 are given below, but not limited thereto. Herein RD is as defined above.




embedded image


embedded image


embedded image


embedded image


embedded image


The repeat units D1 to D4 are preferably incorporated in an amount of 15 to 95 mol %, more preferably 20 to 85 mol % based on the overall repeat units of the fluorinated polymer. The repeat unit D5 and/or D6 is preferably incorporated in an amount of 5 to 85 mol %, more preferably 15 to 80 mol % based on the overall repeat units of the fluorinated polymer. Each of repeat units D1 to D6 may be used alone or in admixture.


The fluorinated polymer may comprise additional repeat units as well as the repeat units D1 to D6. Suitable additional repeat units include those described in U.S. Pat. No. 9,091,918 (JP-A 2014-177407, paragraphs [0046]-[0078]). When the fluorinated polymer comprises additional repeat units, their content is preferably up to 50 mol % based on the overall repeat units.


The fluorinated polymer may be synthesized by combining suitable monomers optionally protected with a protective group, copolymerizing them in the standard way, and effecting deprotection reaction if necessary. The copolymerization reaction is preferably radical or anionic polymerization though not limited thereto. For the polymerization reaction, reference may be made to JP-A 2004-115630.


The fluorinated polymer should preferably have a Mw of 2,000 to 50,000, and more preferably 3,000 to 20,000. A fluorinated polymer with a Mw of less than 2,000 helps acid diffusion, degrading resolution and detracting from age stability. A polymer with too high Mw has a reduced solubility in solvent, with a risk of leaving coating defects. The fluorinated polymer preferably has a dispersity (Mw/Mn) of 1.0 to 2.2, more preferably 1.0 to 1.7.


In the negative resist composition, the fluorinated polymer (D) is preferably used in an amount of 0.01 to 30 parts, more preferably 0.1 to 20 parts, even more preferably 0.5 to 10 parts by weight per 80 parts by weight of the base polymer (B). The fluorinated polymer may be used alone or in admixture.


(E) Quencher


The negative resist composition preferably contains a quencher as component (E). The quencher is typically selected from conventional basic compounds. Conventional basic compounds include primary, secondary, and tertiary aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds with carboxy group, nitrogen-containing compounds with sulfonyl group, nitrogen-containing compounds with hydroxy group, nitrogen-containing compounds with hydroxyphenyl group, alcoholic nitrogen-containing compounds, amide derivatives, imide derivatives, and carbamate derivatives. Also included are primary, secondary, and tertiary amine compounds, specifically amine compounds having a hydroxy group, ether bond, ester bond, lactone ring, cyano group, or sulfonic ester bond as described in JP-A 2008-111103, paragraphs [0146]-[0164], and compounds having a carbamate group as described in JP 3790649. Inter alia, tris[2-(methoxymethoxy)ethyl]amine, tris[2-(methoxymethoxy)ethyl]amine-N-oxide, dibutylaminobenzoic acid, morpholine derivatives, and imidazole derivatives are preferred. Addition of a basic compound is effective for further suppressing the diffusion rate of acid in the resist film or correcting the pattern profile.


Onium salts such as sulfonium salts, iodonium salts and ammonium salts of carboxylic acids which are not fluorinated at α-position as described in U.S. Pat. No. 8,795,942 (JP-A 2008-158339) may also be used as the quencher. While an α-fluorinated sulfonic acid, imide acid, and methide acid are necessary to deprotect the acid labile group, an α-non-fluorinated carboxylic acid is released by salt exchange with an α-non-fluorinated onium salt. An α-non-fluorinated carboxylic acid functions as a quencher because it does not induce substantial deprotection reaction.


Examples of the onium salt of α-non-fluorinated carboxylic acid include compounds having the formula (E1).





R201—CO2Mq+  (E1)


In formula (E1), R201 is hydrogen or a C1-C40 hydrocarbyl group which may contain a heteroatom, exclusive of the hydrocarbyl group in which the hydrogen bonded to the carbon atom at α-position of the carboxy group is substituted by fluorine or fluoroalkyl.


The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C40 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, n-pentyl, tert-pentyl, n-hexyl, n-octyl, 2-ethylhexyl, n-nonyl, n-decyl; C3-C40 cyclic saturated hydrocarbyl groups such as cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo[5.2.1.02,6]decanyl, adamantyl, and adamantylmethyl; C2-C40 alkenyl groups such as vinyl, allyl, propenyl, butenyl and hexenyl; C3-C40 cyclic unsaturated aliphatic hydrocarbyl groups such as cyclohexenyl; C6-C40 aryl groups such as phenyl, naphthyl, alkylphenyl groups (e.g., 2-methylphenyl, 3-methylphenyl, 4-methylphenyl, 4-ethylphenyl, 4-tert-butylphenyl, 4-n-butylphenyl), dialkylphenyl groups (e.g., 2,4-dimethylphenyl), 2,4,6-triisopropylphenyl, alkylnaphthyl groups (e.g., methylnaphthyl and ethylnaphthyl), dialkylnaphthyl groups (e.g., dimethylnaphthyl and diethylnaphthyl); and C7-C40 aralkyl groups such as benzyl, 1-phenylethyl and 2-phenylethyl.


In the foregoing hydrocarbyl groups, some or all of the hydrogen atoms may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, and some constituent —CH2— may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxy moiety, cyano moiety, carbonyl moiety, ether bond, thioether bond, ester bond, sulfonic ester bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride (—C(═O)—O—C(═O)−) or haloalkyl moiety. Suitable heteroatom-containing hydrocarbyl groups include heteroaryl groups such as thienyl; alkoxyphenyl groups such as 4-hydroxyphenyl, 4-methoxyphenyl, 3-methoxyphenyl, 2-methoxyphenyl, 4-ethoxyphenyl, 4-tert-butoxyphenyl, 3-tert-butoxyphenyl; alkoxynaphthyl groups such as methoxynaphthyl, ethoxynaphthyl, n-propoxynaphthyl and n-butoxynaphthyl; dialkoxynaphthyl groups such as dimethoxynaphthyl and diethoxynaphthyl; and aryloxoalkyl groups, typically 2-aryl-2-oxoethyl groups such as 2-phenyl-2-oxoethyl, 2-(1-naphthyl)-2-oxoethyl and 2-(2-naphthyl)-2-oxoethyl.


In formula (E1), Mq+ is an onium cation. The onium cation is preferably selected from sulfonium, iodonium and ammonium cations, more preferably sulfonium and iodonium cations. Exemplary sulfonium cations are as exemplified above for the cation in the sulfonium salt having formula (A1). Exemplary iodonium cations are as exemplified above for the cation in the iodonium salt having formula (A2).


Examples of the anion in the onium salt having formula (E1) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


A sulfonium salt of iodized benzene ring-containing carboxylic acid having the formula (E2) is also useful as the quencher.




embedded image


In formula (E2), s is an integer of 1 to 5, t is an integer of 0 to 3, and u is an integer of 1 to 3.


In formula (E2), R301 is hydroxy, fluorine, chlorine, bromine, amino, nitro, cyano, or a C1-C6 saturated hydrocarbyl, C1-C6 saturated hydrocarbyloxy, C2-C6 saturated hydrocarbylcarbonyloxy or C1-C4 saturated hydrocarbylsulfonyloxy group, in which some or all hydrogen may be substituted by halogen, or −N(R301A)−C(═O)—R301B, or −N(R301A)—C(═O)—O−R301B. R301A is hydrogen or a C1-C6 saturated hydrocarbyl group. R301B is a C1-C6 saturated hydrocarbyl or C2-C8 unsaturated aliphatic hydrocarbyl group. When t and/or u is 2 or 3, a plurality of R301 may be the same or different.


In formula (E2), L11 is a single bond, or a C1-C20 (u+1)-valent linking group which may contain at least one moiety selected from ether bond, carbonyl moiety, ester bond, amide bond, sultone ring, lactam ring, carbonate bond, halogen, hydroxy moiety, and carboxy moiety. The saturated hydrocarbyl, saturated hydrocarbyloxy, saturated hydrocarbylcarbonyloxy, and saturated hydrocarbylsulfonyloxy groups may be straight, branched or cyclic.


In formula (E2), R302, R303 and R304 are each independently halogen, or a C1-C20 hydrocarbyl group which may contain a heteroatom. The hydrocarbyl group may be saturated or unsaturated and straight, branched or cyclic. Examples thereof include C1-C20 alkyl, C2-C20 alkenyl, C6-C20 aryl, and C7-C20 aralkyl groups. In these groups, some or all hydrogen may be substituted by hydroxy moiety, carboxy moiety, halogen, oxo moiety, cyano moiety, nitro moiety, sultone ring, sulfo moiety, or sulfonium salt-containing moiety, or some constituent —CH2— may be replaced by an ether bond, ester bond, carbonyl moiety, amide bond, carbonate bond or sulfonic ester bond. Also R302 and R303 may bond together to form a ring with the sulfur atom to which they are attached.


Examples of the compound having formula (E2) include those described in U.S. Pat. No. 10,295,904 (JP-A 2017-219836). These compounds exert a sensitizing effect due to remarkable absorption and an acid diffusion-controlling effect.


A nitrogen-containing carboxylic acid salt compound having the formula (E3) is also useful as the quencher.




embedded image


In formula (E3), R401 to R404 are each independently hydrogen, -L12-CO2, or a C1-C20 hydrocarbyl group which may contain a heteroatom. R401 and R402, R402 and R403, or R403 and R404 may bond together to form a ring with the carbon atom to which they are attached. L12 is a single bond or a C1-C20 hydrocarbylene group which may contain a heteroatom. R405 is hydrogen or a C1-C20 hydrocarbyl group which may contain a heteroatom.


In formula (E3), the ring R is a C2-C6 ring containing the carbon and nitrogen atoms in the formula, in which some or all of the carbon-bonded hydrogen atoms may be substituted by a C1-C20 hydrocarbyl group or -L12-CO2 and in which some carbon may be replaced by sulfur, oxygen or nitrogen. The ring may be alicyclic or aromatic and is preferably a 5- or 6-membered ring. Suitable rings include pyridine, pyrrole, pyrrolidine, piperidine, pyrazole, imidazoline, pyridazine, pyrimidine, pyrazine, oxazole, thiazole, morpholine, thiazine, and triazole rings.


The carboxylic onium salt having formula (E3) has at least one -L12-CO2. That is, at least one of R401 to R404 is -L12-CO2, and/or at least one of hydrogen atoms bonded to carbon atoms in the ring R is substituted by -L12-CO2.


In formula (E3), Q+ is a sulfonium, iodonium or ammonium cation, with the sulfonium cation being preferred. Examples of the sulfonium cation are as exemplified above for the cation in the sulfonium salt having formula (A1).


Examples of the anion in the compound having formula (E3) are shown below, but not limited thereto.




embedded image


embedded image


embedded image


embedded image


embedded image


Weak acid betaine compounds are also useful as the quencher. Non-limiting examples thereof are shown below.




embedded image


embedded image


Also useful are quenchers of polymer type as described in U.S. Pat. No. 7,598,016 (JP-A 2008-239918). The polymeric quencher segregates at the resist surface after coating and thus enhances the rectangularity of resist pattern. When a protective film is applied as is often the case in the immersion lithography, the polymeric quencher is also effective for preventing a film thickness loss of resist pattern or rounding of pattern top.


When used, the quencher (E) is preferably added in an amount of 0 to 50 parts, more preferably 0.1 to 40 parts by weight per 80 parts by weight of the base polymer (B). The quencher may be used alone or in admixture.


In the embodiment wherein the chemically amplified negative resist composition contains the acid generator (A) and the quencher (E), the acid generator (A) and the quencher (E) are preferably present in a weight ratio of less than 6/1, more preferably less than 5/1, even more preferably less than 4/1. As long as the ratio of acid generator (A) to quencher (E) is in the range, it is possible to fully suppress acid diffusion, leading to improved resolution and dimensional uniformity.


(F) Organic Solvent


The chemically amplified negative resist composition may further comprise an organic solvent as component (F). The organic solvent used herein is not particularly limited as long as the components are soluble therein. Examples of the organic solvent are described in JP-A 2008-111103, paragraphs [0144] to [0145] (U.S. Pat. No. 7,537,880). Specifically, exemplary solvents include ketones such as cyclohexanone, cyclopentanone, methyl-2-n-pentyl ketone and 2-heptanone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, and diacetone alcohol; ethers such as propylene glycol monomethyl ether (PGME), ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate, ethyl lactate (EL), ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, t-butyl acetate, t-butyl propionate, and propylene glycol mono-t-butyl ether acetate; and lactones such as γ-butyrolactone (GBL), and mixtures thereof.


Of the above organic solvents, it is recommended to use 1-ethoxy-2-propanol, PGMEA, PGME, cyclohexanone, EL, GBL, and mixtures thereof.


In the negative resist composition, the organic solvent (F) is preferably used in an amount of 200 to 10,000 parts, more preferably 400 to 6,000 parts by weight per 80 parts by weight of the base polymer (B). The organic solvent may be used alone or in admixture.


(G) Surfactant


The negative resist composition may contain any conventional surfactants for facilitating to coat the composition to the substrate. Exemplary surfactants include PF-636 (Omnova Solutions Inc.) and FC-4430 (3M) as well as a number of known surfactants as described in JP-A 2004-115630. Any suitable one may be chosen therefrom. The amount of the surfactant (G) added is preferably 0 to 5 parts by weight per 80 parts by weight of the base polymer (B). The surfactant may be used alone or in admixture.


Process


Another embodiment of the invention is a resist pattern forming process comprising the steps of applying the negative resist composition defined above onto a substrate to form a resist film thereon, exposing the resist film patternwise to high-energy radiation, and developing the resist film in an alkaline developer to form a resist pattern.


Pattern formation using the negative resist composition of the invention may be performed by well-known lithography processes. In general, the resist composition is first applied onto a substrate for IC fabrication (e.g., Si, SiO, SiO2, SiN, SiON, TiN, WSi, BPSG, SOG, organic antireflective coating, etc.) or a substrate for mask circuit fabrication (e.g., Cr, CrO, CrON, MoSi2, Si, SiO, SiO2, SiON, SiONC, CoTa, NiTa, TaBN, SnO2, etc.) by a suitable coating technique such as spin coating. The coating is prebaked on a hotplate preferably at a temperature of 60 to 150° C. for 1 to 20 minutes, more preferably at 80 to 140° C. for 1 to 10 minutes to form a resist film of 0.03 to 2 μm thick.


Then the resist film is exposed patternwise to high-energy radiation such as UV, deep-UV, excimer laser (KrF, ArF), EUV, x-ray, γ-ray or synchrotron radiation or EB. The resist composition of the invention is especially effective in the EUV or EB lithography.


On use of UV, deep-UV, EUV, excimer laser, x-ray, γ-ray or synchrotron radiation as the high-energy radiation, the resist film is exposed through a mask having a desired pattern, preferably in a dose of 1 to 500 mJ/cm2, more preferably 10 to 400 mJ/cm2. On use of EB, a pattern may be written directly in a dose of preferably 1 to 500 μC/cm2, more preferably 10 to 400 μC/cm2.


The exposure may be performed by conventional lithography whereas the immersion lithography of holding a liquid, typically water between the mask and the resist film may be employed if desired. In the case of immersion lithography, a protective film which is insoluble in water may be used.


The resist film is then baked (PEB) on a hotplate preferably at 60 to 150° C. for 1 to 20 minutes, more preferably at 80 to 140° C. for 1 to 10 minutes.


Thereafter, the resist film is developed with a developer in the form of an aqueous base solution, for example, 0.1 to 5 wt %, preferably 2 to 3 wt % aqueous solution of tetramethylammonium hydroxide (TMAH) preferably for 0.1 to 3 minutes, more preferably 0.5 to 2 minutes by conventional techniques such as dip, puddle and spray techniques. In this way, a desired resist pattern is formed on the substrate.


From the negative resist composition, a pattern with a high resolution and minimal LER can be formed. The resist composition is effectively applicable to a substrate, specifically a substrate having a surface layer of material to which a resist film is less adherent and which is likely to invite pattern stripping or pattern collapse, and particularly a substrate having sputter deposited on its outermost surface metallic chromium or a chromium compound containing at least one light element selected from oxygen, nitrogen and carbon or a substrate having an outermost surface layer of SiO, SiOx, or a tantalum, molybdenum, cobalt, nickel, tungsten or tin compound. The substrate to which the negative resist composition is applied is most typically a photomask blank which may be either of transmission or reflection type.


The mask blank of transmission type is typically a photomask blank having a light-shielding film of chromium-based material. It may be either a photomask blank for binary masks or a photomask blank for phase shift masks. In the case of the binary mask-forming photomask blank, the light-shielding film may include an antireflection layer of chromium-based material and a light-shielding layer. In one example, the antireflection layer on the surface layer side is entirely composed of a chromium-based material. In an alternative example, only a surface side portion of the antireflection layer on the surface layer side is composed of a chromium-based material and the remaining portion is composed of a silicon compound-based material which may contain a transition metal. In the case of the phase shift mask-forming photomask blank, it may include a phase shift film and a chromium-based light-shielding film thereon.


Photomask blanks having an outermost layer of chromium base material are well known as described in JP-A 2008-026500 and JP-A 2007-302873 and the references cited therein. Although the detail description is omitted herein, the following layer construction may be employed when a light-shielding film including an antireflective layer and a light-shielding layer is composed of chromium base materials.


In the example where a light-shielding film including an antireflective layer and a light-shielding layer is composed of chromium base materials, layers may be stacked in the order of an antireflective layer and a light-shielding layer from the outer surface side, or layers may be stacked in the order of an antireflective layer, a light-shielding layer, and an antireflective layer from the outer surface side. Each of the antireflective layer and the light-shielding layer may be composed of multiple sub-layers. When the sub-layers have different compositions, the composition may be graded discontinuously or continuously from sub-layer to sub-layer. The chromium base material used herein may be metallic chromium or a material consisting of metallic chromium and a light element such as oxygen, nitrogen or carbon. Examples used herein include metallic chromium, chromium oxide, chromium nitride, chromium carbide, chromium oxynitride, chromium oxycarbide, chromium nitride carbide, and chromium oxide nitride carbide.


The mask blank of reflection type includes a substrate, a multilayer reflective film formed on one major surface (front surface) of the substrate, for example, a multilayer reflective film of reflecting exposure radiation such as EUV radiation, and an absorber film formed on the multilayer reflective film, for example, an absorber film of absorbing exposure radiation such as EUV radiation to reduce reflectivity. From the reflection type mask blank (reflection type mask blank for EUV lithography), a reflection type mask (reflection type mask for EUV lithography) having an absorber pattern (patterned absorber film) formed by patterning the absorber film is produced. The EUV radiation used in the EUV lithography has a wavelength of 13 to 14 nm, typically about 13.5 nm.


The multilayer reflective film is preferably formed contiguous to one major surface of a substrate. An underlay film may be disposed between the substrate and the multilayer reflective film as long as the benefits of the invention are not lost. The absorber film may be formed contiguous to the multilayer reflective film while a protective film (protective film for the multilayer reflective film) may be disposed between the multilayer reflective film and the absorber film, preferably contiguous to the multilayer reflective film, more preferably contiguous to the multilayer reflective film and the absorber film. The protective film is used for protecting the multilayer reflective film in a cleaning, tailoring or otherwise processing step. Also preferably, the protective film has an additional function of protecting the multilayer reflective film or preventing the multilayer reflective film from oxidation during the step of patterning the absorber film by etching. Besides, an electroconductive film, which is used for electrostatic chucking of the reflection type mask to an exposure tool, may be disposed below the other major surface (back side surface) which is opposed to the one major surface of the substrate, preferably contiguous to the other major surface. It is provided herein that a substrate has one major surface which is a front or upper side surface and another major surface which is a back or lower side surface. The terms “front and back” sides or “upper and lower” sides are used for the sake of convenience. One or another major surface may be either of the two major surfaces (film-bearing surfaces) of a substrate, and in this sense, front and back or upper and lower are exchangeable. Specifically, the multilayer reflective film may be formed by any of the methods of JP-A 2021-139970 and the references cited therein.


The resist pattern forming process is successful in forming patterns having a very high resolution, reduced LER, rectangularity, and fidelity even on a substrate (typically mask blank of transmission or reflection type) whose outermost surface is made of a material tending to affect resist pattern profile such as a chromium, silicon or tantalum-containing material.


EXAMPLES

Examples of the invention are given below by way of illustration and not by way of limitation. The abbreviation “pbw” is parts by weight. For copolymers, the compositional ratio is a molar ratio and Mw is determined by GPC versus polystyrene standards.


Chemically amplified negative resist compositions were prepared using an acid generator or comparative acid generator, polymer, quencher and fluorinated polymer.


Acid generators PAG-1 to PAG-12 have the following structure.




embedded image


Comparative acid generators cPAG-1 to cPAG-4 have the following structure.




embedded image


Polymers P-1 to P-30 have the structure shown in Table 1.





















TABLE 1







Incorpo-

Incorpo-

Incorpo-

Incorpo-

Incorpo-






ration

ration

ration

ration

ration






ratio

ratio

ratio

ratio

ratio





Unit 1
(mol %)
Unit 2
(mol %)
Unit 3
(mol %)
Unit 4
(mol %)
Unit 5
(mol %)
Mw
Mw/Mn



























P-1
A-1
80.0
B-1
10.0
B-5
10.0




4,500
1.65


P-2
A-1
80.0
B-2
8.0
B-4
12.0




4,400
1.64


P-3
A-1
60.0
B-2
10.0
C-1
30.0




3,700
1.62


P-4
A-1
70.0
B-2
7.0
C-2
23.0




3,600
1.63


P-5
A-1
70.0
B-2
10.0
C-3
20.0




3,900
1.65


P-6
A-1
30.0
B-2
10.0
C-4
60.0




3,900
1.62


P-7
A-1
45.0
B-2
10.0
C-5
45.0




4,100
1.63


P-8
A-1
55.0
B-4
10.0
C-1
35.0




4,000
1.63


P-9
A-1
66.0
B-2
9.0
C-1
21.5
E-1
3.5


13,000
1.62


P-10
A-1
60.0
B-2
4.0
C-1
24.0
E-1
12.0


15,000
1.65


P-11
A-1
67.0
B-2
10.0
C-1
18.5
E-2
4.5


14,000
1.63


P-12
A-1
67.0
B-2
9.3
C-1
20.0
E-3
3.7


13,500
1.63


P-13
A-1
67.3
B-2
10.0
C-1
17.5
E-4
5.2


13,200
1.64


P-14
A-1
64.1
B-2
9.5
C-1
22.0
E-5
4.4


12,800
1.62


P-15
A-1
64.0
B-2
10.0
C-1
22.8
E-6
3.2


13,500
1.63


P-16
A-1
62.0
B-3
10.0
C-1
24.3
E-1
3.7


12,400
1.66


P-17
A-2
60.5
B-4
10.0
C-1
24.4
E-2
5.1


12,300
1.65


P-18
A-1
70.0
C-1
30.0






4,200
1.69


P-19
A-1
80.0
B-2
5.0
C-1
15.0




4,300
1.67


P-20
A-1
80.0
B-2
2.5
C-1
15.0
E-1
2.5


12,100
1.69


P-21
A-2
50.0
C-1
30.0
D-1
20.0




4,600
1.67


P-22
A-2
50.0
B-2
2.5
C-1
30.0
D-1
15.0
E-1
2.5
12,700
1.73


P-23
A-2
50.0
C-1
30.0
D-2
20.0




5,400
1.72


P-24
A-2
50.0
C-1
30.0
D-3
20.0




6,100
1.73


P-25
A-2
50.0
C-1
30.0
D-4
20.0




7,000
1.76


P-26
A-1
67.5
B-2
2.5
C-1
30.0




4,100
1.65


P-27
A-1
57.5
B-2
2.5
C-1
30.0
E-5
10


11,000
1.65


P-28
A-1
65.0
C-1
25.0
E-7
10.0




13,000
1.80


P-29
A-1
65.0
C-1
25.0
E-8
10.0




12,500
1.80


P-30
A-3
76.0
B-2
6.0
C-1
18.0




4,500
1.68









The structure of each unit in Table 1 is shown below.




embedded image


embedded image


embedded image


embedded image


Quenchers Q-1 to Q-4 have the following structure.




embedded image


Fluorinated Polymers FP-1 to FP-5 have the following structure.




embedded image


[1] Preparation of Chemically Amplified Negative Resist Compositions


Examples 1-1 to 1-63 and Comparative Examples 1-1 to 1-5

Chemically amplified negative resist compositions (R-1 to R-63, CR-1 to CR-8) were prepared by dissolving selected components in an organic solvent in accordance with the formulation shown in Tables 2 to 5, and filtering the solution through a UPE filter or nylon filter with a pore size of 10 nm, 5 nm, 3 nm or 1 nm. The organic solvent was a mixture of 790 pbw of PGMEA, 1,580 pbw of EL, and 1,580 pbw of PGME. To some compositions, fluorinated polymer (Polymers FP-1 to FP-5) as additive, tetramethoxymethylglycoluril (TMGU) as crosslinker, and PF-636 (Omnova Solutions Inc.) as surfactant were added.


















TABLE 2








Acid




Fluorinated





Resist
generator
Polymer 1
Polymer 2
Quencher
Crosslinker
polymer
Surfactant




composition
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)







Example
1-1
R-1
PAG-1
P-1

Q-1
TMGU

PF-636





(10)
(80)

(4.0)
(8.154)

(0.075)



1-2
R-2
PAG-1
P-1

Q-1
TMGU
FP-1
PF-636





(10)
(80)

(4.0)
(8.154)
(3)
(0.075)



1-3
R-3
PAG-1
P-2

Q-2
TMGU

PF-636





(10)
(80)

(13.0)
(8.154)

(0.075)



1-4
R-4
PAG-1
P-3

Q-1








(10)
(80)

(4.0)






1-5
R-5
PAG-1
P-3

Q-2








(10)
(80)

(13.0)






1-6
R-6
PAG-1
P-3

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-7
R-7
PAG-1
P-4

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-8
R-8
PAG-1
P-5

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-9
R-9
PAG-1
P-6

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-10
R-10
PAG-1
P-7

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-11
R-11
PAG-1
P-8

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-12
R-12
PAG-1
P-9

Q-2

FP-1






(5)
(80)

(13.0)

(3)




1-13
R-13
PAG-1
P-10

Q-2

FP-1






(3)
(80)

(13.0)

(3)




1-14
R-14
PAG-1
P-11

Q-2

FP-1






(5)
(80)

(13.0)

(3)




1-15
R-15
PAG-1
P-12

Q-2

FP-1






(5)
(80)

(13.0)

(3)




1-16
R-16
PAG-1
P-13

Q-2

FP-1






(5)
(80)

(13.0)

(3)




1-17
R-17
PAG-1
P-14

Q-2

FP-1






(5)
(80)

(13.0)

(3)




1-18
R-18
PAG-1
P-15

Q-2

FP-1






(5)
(80)

(13.0)

(3)




1-19
R-19
PAG-1
P-16

Q-2

FP-1






(5)
(80)

(13.0)

(3)




1-20
R-20
PAG-1
P-17

Q-2

FP-1






(5)
(80)

(13.0)

(3)




1-21
R-21
PAG-1
P-18

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-22
R-22
PAG-1
P-19

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-23
R-23
PAG-1
P-20

Q-2

FP-1






(5)
(80)

(13.0)

(3)




1-24
R-24
PAG-1
P-21

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-25
R-25
PAG-1
P-22

Q-2

FP-1






(5)
(80)

(13.0)

(3)

























TABLE 3








Acid




Fluorinated





Resist
generator
Polymer 1
Polymer 2
Quencher
Crosslinker
polymer
Surfactant




composition
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)







Example
1-26
R-26
PAG-1
P-23

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-27
R-27
PAG-1
P-24

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-28
R-28
PAG-1
P-25

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-29
R-29
PAG-1
P-26

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-30
R-30
PAG-1
P-27

Q-2

FP-1






(5)
(80)

(13.0)

(3)




1-31
R-31
PAG-1
P-28

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-32
R-32
PAG-1
P-29

Q-2

FP-1






(10)
(80)

(13.0)

(3)




1-33
R-33
PAG-1
P-7
P-9
Q-1








(8)
(40)
(40)
(4.0)






1-34
R-34
PAG-1
P-7
P-9
Q-1

FP-1






(8)
(40)
(40)
(4.0)

(3)




1-35
R-35
PAG-1
P-7
P-9
Q-2








(8)
(40)
(40)
(13.0)






1-36
R-36
PAG-1
P-7
P-9
Q-2

FP-1






(8)
(40)
(40)
(13.0)

(3)




1-37
R-37
PAG-1
P-7
P-9
Q-3

FP-1






(8)
(40)
(40)
(6.0)

(3)




1-38
R-38
PAG-1
P-7
P-9
Q-3
TMGU
FP-1






(8)
(40)
(40)
(6.5)
(2.0)
(3)




1-39
R-39
PAG-1
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)




1-40
R-40
PAG-1
P-7
P-9
Q-4

FP-2






(8)
(40)
(40)
(11.0)

(5)




1-41
R-41
PAG-1
P-7
P-9
Q-4

FP-3






(8)
(40)
(40)
(11.0)

(1.5)




1-42
R-42
PAG-1
P-7
P-9
Q-4

FP-4






(8)
(40)
(40)
(11.0)

(3)




1-43
R-43
PAG-1
P-7
P-9
Q-4

FP-5






(8)
(40)
(40)
(11.0)

(3)




1-44
R-44
PAG-1
P-7
P-9
Q-4

FP-1






(20)
(40)
(40)
(16.0)

(3)




1-45
R-45
PAG-2
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)




1-46
R-46
PAG-3
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)




1-47
R-47
PAG-4
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)




1-48
R-48
PAG-5
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)




1-49
R-49
PAG-6
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)




1-50
R-50
PAG-7
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)

























TABLE 4








Acid




Fluorinated





Resist
generator
Polymer 1
Polymer 2
Quencher
Crosslinker
polymer
Surfactant




composition
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)







Example
1-51
R-51
PAG-7
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)




1-52
R-52
PAG-8
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)




1-53
R-53
PAG-9
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)




1-54
R-54
PAG-10
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)




1-55
R-55
PAG-11
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)




1-56
R-56
PAG-1 (8)
P-7
P-9
Q-4

FP-1






PAG-12 (2)
(40)
(40)
(11.0)

(3)




1-57
R-57
PAG-3 (9)
P-7
P-9
Q-4

FP-1






PAG-5 (2)
(40)
(40)
(11.0)

(3)




1-58
R-58
PAG-3 (9)
P-3
P-9
Q-3

FP-1






PAG-5 (2)
(40)
(40)
(8.0)

(3)




1-59
R-59
PAG-3 (7)
P-7
P-9
Q-3

FP-1






PAG-5 (2)
(40)
(40)
(6.0)

(3)




1-60
R-60
PAG-3 (7)
P-7
P-9
Q-3

FP-1






PAG-5 (2)
(40)
(40)
(3.0)

(3)




1-61
R-61
PAG-3 (7)
P-7
P-9
Q-3

FP-1






PAG-5 (2)
(40)
(40)
(1.4)

(3)




1-62
R-62
PAG-3 (9)
P-30
P-9
Q-4

FP-1






PAG-5 (2)
(40)
(40)
(11.0)

(3)




1-63
R-63
PAG-3 (7)
P-3 (20)
P-9
Q-4

FP-1






PAG-5 (2)
P-7 (20)
(40)
(15.0)

(3)

























TABLE 5








Acid




Fluorinated





Resist
generator
Polymer 1
Polymer 2
Quencher
Crosslinker
polymer
Surfactant




composition
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)
(pbw)







Comparative
1-1
CR-1
cPAG-1
P-1

Q-1
TMGU

PF-636


Example


(10)
(40)

(4.0)
(8.154)

(0.075)



1-2
CR-2
cPAG-1
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)




1-3
CR-3
cPAG-2
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)




1-4
CR-4
cPAG-3
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)




1-5
CR-5
cPAG-4
P-7
P-9
Q-4

FP-1






(8)
(40)
(40)
(11.0)

(3)









[2] EB Lithography Test


Examples 2-1 to 2-63 and Comparative Examples 2-1 to 2-5

Using a coater/developer system ACT-M (Tokyo Electron Ltd.), each of the negative resist compositions (R-1 to R-63 and CR-1 to CR-5) was spin coated onto a mask blank of 152 mm squares having the outermost surface of a silicon oxide film, which had been vapor primed with hexamethyldisilazane (HMDS), and prebaked on a hotplate at 110° C. for 600 seconds to form a resist film of 80 nm thick. The thickness of the resist film was measured by an optical film thickness measurement system Nanospec (Nanometrics Inc.). Measurement was made at 81 points in the plane of the blank substrate excluding a peripheral band extending 10 mm inward from the blank periphery, and an average film thickness and a film thickness range were computed therefrom.


The resist film was exposed to EB using an EB writer system EBM-5000Plus (NuFlare Technology Inc., accelerating voltage 50 kV), then baked (PEB) at 120° C. for 600 seconds, and developed in a 2.38 wt % TMAH aqueous solution, thereby yielding a negative pattern.


The resist pattern was evaluated as follows. The patterned mask blank was observed under a top-down scanning electron microscope (TDSEM). The optimum dose (Eop) was defined as the exposure dose (μC/cm2) which provided a 1:1 resolution at the top and bottom of a 200-nm 1:1 line-and-space (LS) pattern. The resolution (or maximum resolution) was defined as the minimum line width of a LS pattern that could be resolved at the optimum dose.


The 200-nm LS pattern printed by exposure at the optimum dose (Eop) was observed under SEM. For each of the edges of 32 lines of the LS pattern, edge detection was carried out at 80 points, from which a 3-fold value (3σ) of the standard deviation (σ) or variation was determined and reported as LER (nm). It was judged by visual observation whether or not the pattern profile was rectangular. For the evaluation of pattern fidelity, when a square dot pattern of size 120 nm and density 36% was placed, an area loss (%) at one corner of the square dot was computed. A smaller value indicates that the dot profile is more rectangular. The results are shown in Tables 6 to 9.
















TABLE 6







Resist
Eop
Maximum


Area




com-
(μC/
resolution
LER
Pattern
loss




position
cm2)
(nm)
(nm)
profile
(%)






















Ex-
2-1
R-1
95
50
5.2
Rectangular
11


am-
2-2
R-2
97
50
5.1
Rectangular
10


ple
2-3
R-3
95
50
5.2
Rectangular
11



2-4
R-4
100
45
4.9
Rectangular
10



2-5
R-5
100
40
4.8
Rectangular
9



2-6
R-6
100
40
4.8
Rectangular
9



2-7
R-7
100
40
4.8
Rectangular
9



2-8
R-8
100
40
4.8
Rectangular
9



2-9
R-9
100
40
4.8
Rectangular
9



2-10
R-10
110
40
4.8
Rectangular
9



2-11
R-11
100
40
4.8
Rectangular
9



2-12
R-12
90
40
4.7
Rectangular
9



2-13
R-13
70
40
4.7
Rectangular
9



2-14
R-14
90
40
4.7
Rectangular
9



2-15
R-15
90
40
4.7
Rectangular
9



2-16
R-16
90
40
4.7
Rectangular
9



2-17
R-17
90
40
4.7
Rectangular
9



2-18
R-18
90
40
4.7
Rectangular
9



2-19
R-19
90
40
4.7
Rectangular
9



2-20
R-20
90
40
4.7
Rectangular
9



2-21
R-21
100
45
4.9
Rectangular
9



2-22
R-22
100
40
4.7
Rectangular
9



2-23
R-23
90
40
4.7
Rectangular
9



2-24
R-24
100
45
4.9
Rectangular
9



2-25
R-25
90
45
4.7
Rectangular
9



2-26
R-26
100
45
4.7
Rectangular
9



2-27
R-27
100
45
4.7
Rectangular
9



2-28
R-28
100
45
4.7
Rectangular
9



2-29
R-29
100
45
4.9
Rectangular
9



2-30
R-30
90
45
4.7
Rectangular
9























TABLE 7







Resist
Eop
Maximum


Area




com-
(μC/
resolution
LER
Pattern
loss




position
cm2)
(nm)
(nm)
profile
(%)






















Ex-
2-31
R-31
80
45
4.8
Rectangular
9


am-
2-32
R-32
80
45
4.8
Rectangular
9


ple
2-33
R-33
110
40
4.7
Rectangular
9



2-34
R-34
110
40
4.6
Rectangular
9



2-35
R-35
110
37
4.7
Rectangular
9



2-36
R-36
110
35
4.6
Rectangular
9



2-37
R-37
110
35
4.6
Rectangular
9



2-38
R-38
110
35
4.6
Rectangular
9



2-39
R-39
110
35
4.6
Rectangular
9



2-40
R-40
110
35
4.6
Rectangular
9



2-41
R-41
110
35
4.6
Rectangular
9



2-42
R-42
110
35
4.6
Rectangular
9



2-43
R-43
110
35
4.6
Rectangular
9



2-44
R-44
110
35
4.6
Rectangular
9



2-45
R-45
110
35
4.6
Rectangular
9



2-46
R-46
110
35
4.6
Rectangular
9



2-47
R-47
110
35
4.6
Rectangular
9



2-48
R-48
110
35
4.6
Rectangular
9



2-49
R-49
110
35
4.6
Rectangular
9



2-50
R-50
110
35
4.6
Rectangular
9



2-51
R-51
110
35
4.6
Rectangular
9



2-52
R-52
110
35
4.6
Rectangular
9



2-53
R-53
110
35
4.6
Rectangular
9



2-54
R-54
110
35
4.6
Rectangular
9



2-55
R-55
110
35
4.6
Rectangular
9



2-56
R-56
110
35
4.6
Rectangular
9



2-57
R-57
110
35
4.6
Rectangular
9



2-58
R-58
110
35
4.6
Rectangular
9



2-59
R-59
110
35
4.6
Rectangular
9



2-60
R-60
55
35
4.8
Rectangular
9























TABLE 8







Resist
Eop
Maximum


Area




com-
(μC/
resolution
LER
Pattern
loss




position
cm2)
(nm)
(nm)
profile
(%)






















Ex-
2-61
R-61
 40
45
5.2
Rectangular
11


am-
2-62
R-62
100
45
4.9
Rectangular
9


ple
2-63
R-63
110
35
4.6
Rectangular
9























TABLE 9







Resist
Eop
Maximum


Area




com-
(μC/
resolution
LER
Pattern
loss




position
cm2)
(nm)
(nm)
profile
(%)







Com-
2-1
CR-1
 90
65
6.3
undercut
16


parative
2-2
CR-2
110
55
6.0
footing
15


Ex-
2-3
CR-3
110
55
6.5
footing
15


am-
2-4
CR-4
110
55
6.3
footing
15


ple
2-5
CR-5
110
55
6.3
footing
15









All the chemically amplified negative resist compositions (R-1 to R-63) within the scope of the invention show satisfactory resolution, reduced LER, pattern rectangularity, and pattern fidelity. A comparison of R-60 with R-61 reveals that satisfactory resolution is available in the region of 50 μC or more. The comparative resist compositions (CR-1 to CR-5) lack resolution, LER and pattern rectangularity because the acid generator is of insufficient design. The invention is designed such that a combination of a base polymer having a hydroxystyrene structure with an iodized acid generator exerts an acid diffusion-suppressing effect, achieving improvements in resolution, LER, pattern rectangularity and fidelity.


The resist pattern forming process using the negative resist composition is useful in photolithography for the fabrication of semiconductor devices and the processing of photomask blanks of transmission or reflection type.


Japanese Patent Application No. 2022-089738 is incorporated herein by reference. Although some preferred embodiments have been described, many modifications and variations may be made thereto in light of the above teachings. It is therefore to be understood that the invention may be practiced otherwise than as specifically described without departing from the scope of the appended claims.

Claims
  • 1. A chemically amplified negative resist composition comprising (A) an acid generator containing at least one salt selected from a sulfonium salt having the formula (A1) and an iodonium salt having the formula (A2) and (B) a base polymer containing a polymer comprising repeat units having the formula (B1),
  • 2. The negative resist composition of claim 1 wherein component (A) is an onium salt having the formula (A3):
  • 3. The negative resist composition of claim 1 wherein the polymer further comprises repeat units having the formula (B2):
  • 4. The negative resist composition of claim 1 wherein the polymer further comprises repeat units of at least one type selected from repeat units having the formula (B3), repeat units having the formula (B4), and repeat units having the formula (B5):
  • 5. The negative resist composition of claim 3 wherein the polymer further comprises repeat units of at least one type selected from repeat units having the formulae (B6) to (B13):
  • 6. The negative resist composition of claim 5 wherein the polymer further comprises repeat units having the formula (B1-1), repeat units having the formula (B2-1) or repeat units having the formula (B2-2), and repeat units having the formula (B7):
  • 7. The negative resist composition of claim 5 wherein the base polymer (B) further contains a polymer comprising repeat units having formula (B1) and repeat units having formula (B2), but not repeat units having formulae (B6) to (B13).
  • 8. The negative resist composition of claim 1 wherein repeat units having an aromatic ring structure account for at least 60 mol % of the overall repeat units of the polymer in the base polymer.
  • 9. The negative resist composition of claim 1, further comprising (C) a crosslinker.
  • 10. The negative resist composition of claim 3, which is free of a crosslinker.
  • 11. The negative resist composition of claim 1, further comprising (D) a fluorinated polymer comprising repeat units of at least one type selected from repeat units having the formula (D1), repeat units having the formula (D2), repeat units having the formula (D3) and repeat units having the formula (D5) and optionally repeat units of at least one type selected from repeat units having the formula (D5) and repeat units having the formula (D6):
  • 12. The negative resist composition of claim 1, further comprising (E) a quencher.
  • 13. The negative resist composition of claim 12 wherein the acid generator (A) and the quencher (E) are present in a weight ratio of less than 6/1.
  • 14. The negative resist composition of claim 1, further comprising (F) an organic solvent.
  • 15. A resist pattern forming process comprising the steps of: applying the chemically amplified negative resist composition of claim 1 onto a substrate to form a resist film thereon,exposing the resist film patternwise to high-energy radiation, anddeveloping the exposed resist film in an alkaline developer.
  • 16. The process of claim 15 wherein the high-energy radiation is EUV or EB.
  • 17. The process of claim 15 wherein the substrate has the outermost surface of a material containing at least one element selected from chromium, silicon, tantalum, molybdenum, cobalt, nickel, tungsten, and tin.
  • 18. The process of claim 15 wherein the substrate is a mask blank of transmission or reflection type.
  • 19. A mask blank of transmission or reflection type which is coated with the chemically amplified negative resist composition of claim 1.
Priority Claims (1)
Number Date Country Kind
2022-089738 Jun 2022 JP national