CMOS gate stack structures and processes

Information

  • Patent Grant
  • 9281248
  • Patent Number
    9,281,248
  • Date Filed
    Wednesday, April 30, 2014
    10 years ago
  • Date Issued
    Tuesday, March 8, 2016
    8 years ago
Abstract
A semiconductor device includes a substrate having a semiconducting surface having formed therein a first active region and a second active region, where the first active region consists of a substantially undoped layer at the surface and a highly doped screening layer of a first conductivity type beneath the first substantially undoped layer, and the second active region consists of a second substantially undoped layer at the surface and a second highly doped screening layer of a second conductivity type beneath the second substantially undoped layer. The semiconductor device also includes a gate stack formed in each of the first active region and the second active region consists of at least one gate dielectric layer and a layer of a metal, where the metal has a workfunction that is substantially midgap with respect to the semiconducting surface.
Description
FIELD

The present disclosure relates to complementary metal-oxide-semiconductor (CMOS) devices, and more specifically to methods for fabricating CMOS devices and CMOS devices therefrom.


BACKGROUND

Conventional polysilicon/silicon oxynitride (SiON) gate stacks have become increasingly unsuitable due to excessive gate leakage as the gate dielectric is proportionally thinned as gate length is decreased. The introduction of novel gate stack materials including high-K (HK) dielectric materials, and metal gates has enabled the continuation of Moore's Law with respect to transistor sizing at nodes smaller than 45/32 nm. However, different schemes to integrate those novel materials into gate stacks have been recently proposed. Gate-first (GF) and gate-last (GL), along with hybrid integration techniques that use some features of both gate-first and gate-last have all been described. The terminology ‘first’ and ‘last’ refers to whether the metal electrode is deposited before or after the high temperature activation anneal(s).


The gate-first approach relies on the use of very thin capping layers—aluminum (Al) based (e.g. Al2O3) for the PMOS and lanthanum (La) based (e.g. LaOx) for the NMOS transistors—to create dipoles that set a workfunction of the gate stack, defining its threshold voltage. In practice, systematic thermal instabilities of the HK/metal gate (MG) stacks can lead to significant workfunction shifts towards midgap (translating into higher PMOS threshold voltage (Vt)). This issue is particularly acute for PMOS, impeding use of gate-first stack construction in low Vt PMOS devices.


A second way of integrating HK/MG has been called a gate-last process. Typically, a sacrificial polysilicon gate is created over an already-formed hafnium-based dielectric gate oxide. The polysilicon gate forms the alignment for the high-temperature process steps such as the formation of source and drain regions in the substrate. After the high-temperature source-drain (S-D) and silicide annealing cycles, the dummy gate is removed and metal gate electrodes are deposited last. In an alternative process, the high-K dielectric itself can be deposited last, right before the metal gate electrodes, and after the complete removal of the dummy polysilicon gates. One possible advantage with this latter approach is to improve the device reliability and mobility at a scaled equivalent oxide thickness (EOT), which can be significantly degraded when the high-K dielectric has gone through the high thermal steps of the flow, just like in the gate-first approach. In both variations of a gate last flow (partial & full gate-last), the workfunction metals are not subjected to high thermal steps often associated with front-end flows.


Hybrid approaches to integrate HK/MG have been disclosed, combining both gate-first (for NMOS) and gate-last (for PMOS). Such hybrid approaches allow targeting high-performance system on a chip (SoC) die that include high PMOS Vt at scaled EOT, while avoiding the full, complex CMOS gate-last integration, that requires multiple CMP steps and dual metal gate deposition. However, both hybrid and gate-last process flows are very complex, with dual metal gates formation requiring costly and time consuming chemical mechanical polishing (CMP) steps. To maintain sufficient process window, such approaches may require restricted design rules (RDRs), such as use of 1-D design approach where gates are all aligned in a given direction.


In addition to the foregoing issues, available metal gate processing techniques for manufacture of low Vt devices required for SOC applications often require CMOS dual workfunction gate stacks. This complicates the HK/MG integration flow, whether gate-first, gate-last, or hybrid processing techniques are chosen. In a typical gate last flow, two metal gates are used, whereas in the case of gate-first, either two capping layers are needed (typically La-based for NMOS and Al-based for PMOS) or a single capping for NMOS (typically La-based) with a SiGe channel for PMOS. In such process flows, SiGe is used due to its valence band offset compared to silicon. Therefore, SiGe channels can deliver effectively lower Vt, in first order proportionally to its Ge content. However, the combination of these different materials and the structures required using these materials increase cost, process complexity, and device failure rates.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 illustrates a portion of a conventional gate-first manufacturing process that includes a SiGe PMOS channel;



FIG. 2 shows an exemplary process for forming a transistor with improved metal gate processing in accordance with the various embodiments;



FIG. 3A shows a cross-section view after gate stack formation for logic and SRAM areas for (top) a conventional devices and (bottom) DDC devices in accordance with an embodiment;



FIG. 3B shows a cross-section view after gate stack formation for logic and SRAM areas for (top) another conventional device and (bottom) DDC devices in accordance with an embodiment;



FIG. 4 shows a cross-section view after gate stack formation for logic and SRAM areas, for (top) a conventional devices and (bottom) DDC devices in accordance with an embodiment, formed using a gate-last process.



FIG. 5 shows a cross-section view after gate stack formation for logic and SRAM areas, for (top) conventional devices and (bottom) DDC devices in accordance with an embodiment using dual metal gates, formed using a gate-first process;



FIG. 6 shows a cross-section view after gate stack formation for logic and SRAM areas, for (top) conventional devices using dual capping layers and (bottom) DDC devices in accordance with an embodiment using dual metal gates, formed using a gate-first process;



FIG. 7 shows a cross-section view after gate stack formation for logic and SRAM areas, for (top) conventional devices using metal layers and (bottom) DDC devices in accordance with an embodiment using dual metal gates, formed using a gate-last process;



FIG. 8 shows a cross-section view after gate stack formation for logic and SRAM areas, for (top) conventional devices using the process of FIG. 1 and (bottom) DDC devices in accordance with an embodiment using the PMOS gate stack of FIG. 1;



FIG. 9A shows an exemplary process flow for forming active areas for logic and SRAM devices in conventional CMOS that includes formation of SiGe channels for PMOS devices;



FIG. 9B shows an exemplary process flow for forming active areas for logic and SRAM for DDC devices; and



FIG. 9C shows an exemplary process flow for forming active areas, where the active areas for logic are configured to provide conventional CMOS that includes SiGe channels for PMOS devices and the active areas for SRAM are configured to provide DDC devices.





DETAILED DESCRIPTION

Embodiments are described with reference to the attached figures, wherein like reference numerals are used throughout the figures to designate similar or equivalent elements. The figures are not drawn to scale and they are provided merely to illustrate the various embodiments. Several aspects of the embodiments are described below with reference to example applications for illustration. It should be understood that numerous specific details, relationships, and methods are set forth, but one having ordinary skill in the relevant art will readily recognize that the invention, limited only by the claims below, can be practiced without one or more of the specific details or with other methods. In other instances, well-known structures or operations are not shown in detail to avoid obscuring the embodiments. The embodiments are not limited by the illustrated ordering of acts or events, as some acts may occur in different orders and/or concurrently with other acts or events. Furthermore, not all illustrated acts or events are required to implement a methodology in accordance with the embodiments.


Digital and analog transistors have been available in decreasing sizes over time, with transistor channel lengths that formerly were tens of thousands of nanometers being reduced a thousand-fold to a hundred nanometers or less in length. However, maintaining transistor quality and electrical characteristics for such downwardly scaled transistors is difficult at nanometer scales, and can even be more difficult for supporting circuits requiring low threshold voltage transistors. This is particularly true for mixed signal die that support both analog and digital transistors, and include a mixture of high and low voltage threshold voltage transistors.


As noted above, dual metal gate process flows are now typically used for forming gate stacks in CMOS. One exemplary configuration of such a process flow is illustrated with respect to FIG. 1. FIG. 1 illustrates a portion of a conventional gate-first manufacturing process that includes a SiGe PMOS channel. In the illustrated gate stack flow, a low Vt PMOS can be achieved without using an aluminum base cap (e.g. AlO) in the PMOS gate-stack, which simplifies to some extent the gate stack module. It is to be noted that in this exemplary flow, the SiGe channel is shown as being formed after the shallow trench isolation (STI) features are formed. However, the SiGe channel can alternatively be formed before STI features are formed. This selective SiGe channel enables Gate-First to achieve low Vt CMOS device.


It should be noted that although the terms “silicon germanium” or “SiGe” will be used herein primarily to identify materials substantially consisting of an alloy of silicon and germanium, the various embodiments are not limited in this regard. Rather, the terms “silicon germanium” or “SiGe” also refer to any other alloys not exclusively comprising silicon and germanium. For example, a SiGe channel in the various embodiments can be formed using an alloy of silicon, germanium, and one or other materials or impurities, including, but not limited to carbon, boron, phosphorus, arsenic, indium, and antimony.


Referring now to the process flow shown in FIG. 1, this gate-first processing flow can include the following sequential process steps:


a. STI formation (and optionally ion implantation to form NMOS and PMOS wells);


b. NMOS hardmask formation;


c. Si recess etch in PMOS regions;


d. Selective SiGe formation in PMOS regions;


e. NMOS hardmask removal and blanket high-K dielectric formation;


f. Blanket PMOS metal gate formation;


g. Removal of PMOS metal gate from NMOS regions;


h. Blanket NMOS metal gate formation (e.g., thin La capping layer+thin TiN layer);


i. Blanket polysilicon deposition (and optional planarization); and


h. Gate stack patterning and etch.


Although the process in FIG. 1 and similar processes have been embraced for manufacture of digital and analog transistor devices, these processes are not without drawbacks. First, the process illustrated in FIG. 1 is substantially asymmetric. That is, NMOS and PMOS regions are not being processed in a substantially similar manner or using corresponding processing steps, adding additional complexity to the process flow. For example, the PMOS regions include SiGe processing steps, the NMOS regions include additional metal gate removal steps, and the number of layers gate stack for the PMOS region is different as compared to the NMOS regions. Second, this asymmetry in the process flow further results in the need for inclusion of additional process steps to account for the resulting differences in features. For example, recess or planarization steps can be required for addressing the inclusion of the SiGe in PMOS or the differences in gate stack height. Third, as some of the processes effectively link fabrication of PMOS and NMOS devices (e.g., gate stack formation processes) small variations in one process step for one type of device can result in significant variation in performance of the other type of device. Accordingly, a greater degree of monitoring of the process flow is generally required, a lower tolerance for variation can result, and tighter control over the various process steps is ultimately needed. As a result, while enhanced performance can be achieved based on the ideal devices as illustrated in FIG. 1, the high degree of process asymmetry, overall increased complexity of the process flow and an increased sensitivity to variations in process steps, ultimately leads to lower yields and increased failure rates.


The present inventors have recognized that a portion of the complexity involved with the process flow described above can be alleviated if substantially similar gate stacks could be used for both PMOS and NMOS or at least substantially similar process flows could be used for both PMOS and NMOS. Additionally, the present inventors also note that a portion of the complexity involved with the process flow described above can also be resolved if the channel formation processes for both PMOS and NMOS were substantially similar. Accordingly, the present inventors propose a new process flow and devices therefrom, for forming die that support a range of transistor devices, where the transistor devices include substantially a same or similar metal for both PMOS and NMOS transistor and substantially similar channel formation processes for both the PMOS and NMOS transistors, as described below


One aspect is to provide a die that supports a range of transistor device types while improving the metal gate process integration by configuring the channels of the transistors to provide deeply depleted channel (DDC) transistors. DDC transistors are formed, for example, by forming a well for the CMOS devices by implanting dopants into a substrate to form a heavily doped screening layer (1018 to 1020 atoms/cm3). This may be followed by an undoped blanket epitaxial layer deposited over the screening layer, extending across multiple die and transistor die blocks. Such a blanket epitaxial layer should be formed so as to reduce upward migration of scattered dopants emplaced during the well implant. In some configurations, lightly doped Vt adjustment layers (between 5×1017 and 2×1019 atoms/cm3) can also be formed in or adjacent to the screening layer in order to allow finer adjustment of threshold voltage and control against unwanted leakage current. Preferably, conventional threshold voltage setting methods by way of channel implants or halo implants are not used in the fabrication of DDC transistors.


Details regarding exemplary DDC transistor structures and manufacturing processes are more completely described in U.S. patent application Ser. No. 12/708,497 titled “ELECTRONIC DEVICES AND SYSTEMS, AND METHODS FOR MAKING AND USING THE SAME” and filed Feb. 18, 2010, U.S. patent application Ser. No. 12/971,884 titled “LOW POWER SEMICONDUCTOR TRANSISTOR STRUCTURE AND METHOD OF FABRICATION THEREOF” and filed Dec. 17, 2010, U.S. patent application Ser. No. 12/971,955 titled “TRANSISTOR WITH THRESHOLD VOLTAGE SET NOTCH AND METHOD OF FABRICATION THEREOF” and filed Dec. 17, 2010, U.S. patent application Ser. No. 12/895,785 titled “ADVANCED TRANSISTORS WITH THRESHOLD VOLTAGE SET DOPANT STRUCTURES” and filed Sep. 30, 2010, the disclosures of which are hereby incorporated by reference in their entirety, and U.S. patent application Ser. No. 12/895,813 titled “ADVANCED TRANSISTORS WITH PUNCH THROUGH SUPPRESSION” and filed Sep. 30, 2010.


An aspect of DDC transistors, is that they are based on forming a channel consisting of a substantially undoped layer, in other words, a channel formed without the inclusion of dopants to make an otherwise intrinsic semiconductor n-type or p-type (generally dopants having a concentration of <5×1017 atoms/cm3, referred to in this disclosure as “undoped”), with a highly doped screening layer underneath. DDC transistor architectures and can simplify integration of various CMOS metal gate stack schemes, including both gate-first, gate-last or hybrid approaches. In particular, these transistors can be formed with a process flow that is substantially similar for both PMOS and NMOS transistors. For example, in the basic DDC transistor process flow, the PMOS and NMOS active regions can be created by forming N-type and P-type screening layers, respectively, followed by a blanket deposition of an undoped silicon epitaxial layer. Notably, SiGe in the PMOS channel is not used for DDC transistor architecture. Thus, the additional steps and complications in a conventional process flow resulting from integration of SiGe in the PMOS channel are eliminated. Further, eliminating SiGe from the PMOS channel helps to improve manufacturing yield.


In addition to the benefit of a simplified process flow due to the elimination of SiGe, the DDC device architecture also enables maintenance of good electrostatic control of the device, despite the channel being undoped or slightly doped and being devoid of conventional threshold voltage setting implants. The DDC device architecture further enables the use of gate stack structure with more relaxed workfunction requirements. Accordingly, this allows, in some cases, utilizing a single metal or substantially similar metals for both PMOS and NMOS transistors. In particular, there is no requirement for a band-edge workfunction, as described below.


In operation, the channel of a DDC device is fully depleted of majority carrier charges. As a result, the Vt (threshold voltage) of the DDC device will be much lower than a conventional MOSFET device (˜300-400 mV), for the same gate stack. More importantly, this reduced Vt is provided without compromising the short channel control of the device. Accordingly, this higher potential to deliver low Vt than a conventional device for a given gate stack allows for matching a given Vt for a target application (Low-Vt, Regular-Vt, High-Vt, SRAM devices) using gate stacks with workfunction closer to midgap in the DDC case. Quantitatively, since DDC devices can deliver ˜300-400 mV lower Vt than conventional devices at matched short channel control, it is possible to use workfunction ˜300-400 mV closer to midgap than the close to band-edge conventional devices (NMOS ˜4.1-4.2 eV, PMOS ˜5.1-5.2 eV).


The result of the foregoing is that a single, close to midgap workfunction gate stack can be used to match the Vt of a conventional device, which typically requires close to band-edge workfunctions. This permits simplification of processes that formerly required a dual metal or other complex workfunction solution (conventional device) by allowing use of a single, close to midgap, workfunction solution. Accordingly, an embodiment described herein includes a DDC transistor architecture utilizing a gate stack for PMOS and NMOS transistors that uses a same or similar, close to midgap, workfunction metal.


Although the description above primarily contemplates the use of a same metal in the various embodiments, the present disclosure also contemplates that in other embodiments, complementary gate stacks, using different midgap metals can be used with DDC to achieve a similar effect. In these embodiments, the dopant profiles and transistor structures of the DDC transistor can be selected and designed to relax the requirements on workfunction separation between NMOS and PMOS, giving greater flexibility for gate metal selection and manufacture. That is, to allow a tunable workfunction.


In similar dual metal embodiments, workfunction selection for multiple transistor device die can utilize NMOS and PMOS metal swaps, as described in further detail in U.S. patent application Ser. No. 12/960,266 titled “SEMICONDUCTOR STRUCTURE AND METHOD OF FABRICATION THEREOF WITH MIXED METAL TYPES” and filed Dec. 3, 2010, the disclosure of which is hereby incorporated by reference in its entirety. Such processing schemes minimize mask steps by taking advantage of transistor device type differentiation by metal gate selection. Certain mask steps can be eliminated or substantially reduced by swapping the PMOS and NMOS metals between device types. For example, a semiconductor die can be processed to have a first device type that has a first PMOS transistor element with a metal gate M1 and a first NMOS transistor element with a metal gate M2. Instead of using a different metal M3 or additional process masking steps, a second device type on the same die can be processed to form a second PMOS transistor element with a metal gate M2 and a second NMOS transistor element with a metal gate M1. In effect, the respective PMOS and NMOS gate metals are swapped between device types, with the differing metal gate workfunctions resulting in different device types. Processing is simplified because an NMOS gate of a first CMOS device can be simultaneously built with a PMOS gate of a second CMOS device. Similarly, a PMOS gate of the first CMOS device can be simultaneously built with a NMOS gate of the second CMOS device.


A general overall process flow for the variants described above is shown in FIG. 2. In particular, FIG. 2 shows an exemplary process 200 for forming a transistor with improved metal gate processing in accordance with the various embodiments. These along with other process steps allow for the processing and manufacture of integrated circuits that include DDC structured devices together with conventional or legacy devices, allowing for designs to cover a full range of analog and digital devices with improved performance and lower power.


The process begins at the well formation (202), which may be one of many different processes according to different embodiments and examples, and is followed by STI formation (204). However, well formation (202) can be before or after STI formation (204), depending on the application and results desired.


For the well formation (202), boron (B), indium (I) or other P-type materials may be used for P-type implants to form the NMOS channel, and arsenic (As), antimony (Sb) or phosphorous (P) and other N-type materials may be used for N-type implants to form the PMOS channel. A germanium (Ge) or carbon (C) implant or in-situ doped epitaxial layer may optionally be performed to reduce dopant migration. Well implants may include sequential implant, and/or epitaxial growth and implant of punch through suppression regions, screening layers having a higher dopant density than the punch through suppression region. Screening layers can set threshold voltage. Or, separate threshold voltage set layers can be formed, typically by implant or diffusion of dopants into a grown epitaxial layer on the screening region.


In some embodiments, the well formation (202) can also include a beam line implant of Ge/B (N), As (P), or Sb followed by an epitaxial (EPI) pre-clean process, and followed finally by non-selective blanket EPI deposition. Alternatively, the well may be formed using a plasma implant of B (N), As (P), followed by an EPI pre-clean, then finally a non-selective (blanket) EPI deposition. The well formation may alternatively include a solid-source diffusion followed by an EPI pre-clean, and followed finally by a non-selective (blanket) EPI deposition. As yet another alternative, well formation may simply include well implants, followed by in-situ doped selective EPI. Embodiments described herein allow for any one of a number of devices configured on a common substrate with different well structures and according to different parameters.


STI formation (204), which, again, may occur before or after well formation, can be performed in a variety of ways. In one exemplary process, this can include a low temperature trench sacrificial oxide (TSOX) liner at a temperature lower than 900 degrees C. After the STI formation (204), gate stack formation (206) can occur. The gate stack may be formed or otherwise constructed in a number of different ways, from different materials, and of different workfunctions.


The main processes for forming a gate stack consist of a gate-first or a gate-last process. As noted above, the terminology ‘first’ and ‘last’ refers to whether a metal layer for the gate stack is deposited before or after the high temperature activation anneal(s) of the flow. Gate-first processes include forming at least one gate dielectric layer, forming at least one metal layer over the gate dielectric layer, optionally forming one or more low resistance layers on the metal layer, and patterning the layers to form gate stacks for PMOS and NMOS. The gate dielectric layer can be a silicon oxide (SiOx) layer or variation thereof (e.g., SiON and variants thereof), a higk-k material (e.g., HfO2, HfSiON, or variants or combinations thereof). The metal layer can be any substantially midgap workfunction metal, such as TiN, TiSiN, TaN, or combinations thereof. As used herein, the term “substantially” refers to being within 20% of the stated value. The present disclosure also contemplates the use of any other midgap materials not listed above.


An exemplary gate stack configuration for a gate-first process includes, as listed in FIG. 2, a SiON/High-k dielectric/Metal/Polysilicon gate stack. Gate-last processes, also called replacement metal gate (RMG) processes, refer to processes in which a dummy gate structure is formed and thereafter replaced with the final gate. A gate-last process can include a high-K/metal gate stack wherein the gate stack can either be formed with “Hi-k first” flow or and “Hi-k last” flow. That is, the high-K dielectric material for the gate can be formed before or after the activation anneal.


Although the various embodiments have been described generally with respect to using a same metal for both PMOS and NMOS, the present disclosure contemplates that another option is to provide a metal gate that includes a tunable range of workfunctions depending on the device construction, N(NMOS)/P(PMOS)/N(PMOS)/P(NMOS)/Midgap or anywhere in between.


Next, lightly-doped tip regions may be formed (208) following the formation of the gate-first stack or the dummy gate for the gate-last process. Tips are usually implanted, and the dimensions of the tips are selected based upon the device design and generally rely upon a thin spacer on either side of the gate (or dummy gate if gate-last process is used) to set the lateral dimension. However, in some embodiments, there may be no tip implant. Next, in steps (210 and 212), PMOS and NMOS source/drain regions are formed by doping the substrate on either side of the tips. The dimensions of the source/drain regions are selected based upon the device design and generally rely upon a second spacer formed on either side of the gate (or dummy gate if gate-last process is used) to set the lateral dimension. Typically the source/drain regions are implanted or diffused, but optionally, selective epitaxial deposition may be used (after an initial etch is performed to open the area for the source/drain in the substrate), especially for the case of including performance enhancers such as Ge for creating strained channels. In the case of a gate-last process, a gate-last module can then be performed (214) to form a surrounding oxide, remove the dummy gate, and fill the dummy gate-openings with the desired stack including metal material.


Specific embodiments of gate first and gate processing techniques are described below with respect to FIGS. 3A, 3B, 4, 5, 6, 7, and 8. However, prior to describing these processes, the process flows for the various types of devices (conventional versus DDC) as discussed below are shown with respect to FIGS. 9A, 9B, and 9C. FIG. 9A shows an exemplary process flow for forming active areas for logic and SRAM devices in conventional CMOS that includes formation of SiGe channels for PMOS devices. FIG. 9B shows an exemplary process flow for forming active areas for logic and SRAM for DDC devices. FIG. 9C shows an exemplary process flow for forming active areas, where the active areas for logic are configured to provide conventional CMOS that includes SiGe channels for PMOS devices and the active areas for SRAM are configured to provide DDC devices.


As shown in FIG. 9A, the conventional process flow consists of forming active areas for PMOS and NMOS devices via conventional well implants to form N-well (NW) and P-well (PW) areas, respectively. Various photolithography and masking steps can be utilized to define the active areas. Further, additional or different implants can be provided for the logic and SRAM active areas via additional photolithography and masking steps. Once the active areas are formed, a hardmask layer can be formed and patterned to define the regions for the SiGe channels (i.e., the PMOS devices in N-wells). As shown in FIG. 9A, this can be accomplished via the formation and patterning of a hardmask layer (Oxide HM). This can include various deposition and/or growth steps to form the hardmask layer, followed by one or more photolithography and etch steps. The etch steps can be wet or dry, depending on the process flow. It is further noted that although FIG. 9A shows the hardmask layer as an oxide hardmask layer, the various embodiments are not limited in this regard. Rather, the present disclosure contemplates that any type of hardmask layer compatible with the process flow can be utilized in the various embodiments.


Once the hardmask layer is formed and patterned, the SiGe PMOS channels (SiGe ch) can be formed. FIG. 9A contemplates the use of a selective SiGe growth technique to limit formation of SiGe to the open areas defined by the hardmask. Once the SiGe PMOS channels are formed, the hardmask can be removed and STI formation can proceed. As shown in FIG. 9A, the end result is a series of elevated SiGe PMOS channels with respect to the NMOS channels. Accordingly, planarization may be needed in subsequent steps. However, as noted above with respect to FIG. 1, an alternative process flow can involve performing a recess etch prior to SiGe formation so that the resulting SiGe PMOS channels and the NMOS channels are at substantially the same level. The conventional flow at FIG. 9A contemplates that STI formation can precede SiGe formation or even the implants for the P-wells and N-wells.


A DDC process, as shown in FIG. 9B, varies in several respects from the conventional process shown in FIG. 9A. Initially, the process flow embodied in FIG. 9B consists of implants. In particular, a DDC screening layer implant is provided for NMOS active areas (DDC_PW) and PMOS active areas (DDC_NW). The screening layer implants may be formed directly into previously formed wells. In contrast with conventional well implants, screening implants are configured to provide a high concentration of dopants in the active areas for both logic and SRAM, as noted above to screen the well thereinbelow and to set the depletion depth of the to-be-formed undoped channel above when the resulting transistor is operating. The screening layer implants are preferably performed using a reduced energy but higher dose compared with the process used for the well implants for FIG. 9A. DDC screening layer implants can be different in concentration and thickness for logic and SRAM active areas. Generally, for higher Vt devices, the screening layer is more highly concentrated and thinner. Although not shown, if desired, additional implants may be performed to set threshold voltage by implanting dopants into the screening layer. The threshold voltage setting implants are preferably performed using a reduced energy and lower dose compared with the process used for the screening layer implants. Once the DDC implants are performed, then preferably, a blanket undoped silicon epitaxial layer is formed using a process that is within a thermal budget tailored to either avoid unwanted migration of dopants or to tightly control any degree of upward migration of dopants from the screening layer. Preferably, the epitaxial growth process is highly uniform in deposition rate to allow tight control of resulting thickness of undoped silicon. Preferably thereafter, the active areas can be isolated via STI formation (STI).


The present disclosure also contemplates that DDC devices can be formed in combination with conventional devices on the same wafer or substrate. This is illustrated in FIG. 9C. The flow in FIG. 9C begins in substantially a similar manner than that shown in FIG. 9B with the DDC screening layer implants and the formation of the epitaxial silicon layer. However, in FIG. 9C, the active areas associated with logic are intended to form conventional devices and DDC devices are limited to SRAM areas. Accordingly, appropriate masking and photolithography steps are performed to limit the DDC screening layer implants to the SRAM active areas. After the silicon epitaxial layer is formed, the process flow can proceed to complete the conventional devices substantially in accordance with the flow described in FIG. 9A. However, to limit the conventional well implants to the logic areas, appropriate masking and photolithography steps are performed to limit the N-well and P-well implants to the logic active areas. Further, to limit SiGe formation to the logic, the hardmask can be patterned so as to only expose PMOS active areas in the logic. Once the SiGe is formed for the logic area, STI formation can proceed, as previously described with respect to FIG. 9A.


Having discussed various channel formation process flows, the gate stack process flows can now be discussed in greater detail. Referring back to FIG. 3A, there is shown a cross-section view after gate stack formation for logic and SRAM areas for (top) conventional devices and (bottom) DDC devices in accordance with an embodiment. The channels for the conventional devices can be formed according to, for example, the process flow of FIG. 9A. The channels for the DDC devices can be formed according to, for example, the process flow of FIG. 9B.



FIG. 3A illustrates how a process flow is simplified when using DDC devices and a high-K/Metal/Polysilicon gate stack as compared to the process flow for a conventional device. As illustrated in the top portion of FIG. 3A, a conventional device implemented in both logic and SRAM areas with a gate-first CMOS scheme using a high-K dielectric layer (“GD”), a metal gate (“MG”), and a polysilicon contact layer (“Poly”) requires the insertion of selective high-K capping layers for NMOS (e.g., La-based) and for PMOS (e.g., Al-based). That is, dual workfunction gates are required to provide correct workfunctions to enable PMOS and NMOS devices to properly operate. In contrast, as shown in the bottom portion of FIG. 3A, the use of DDC devices allows use of a simplified single gate stack. In particular, a simple gate stack with no individualized high-K capping layers is provided. The dual workfunction (with selective high-K capping layers for NMOS and PMOS) for a conventional device can be replaced with a single midgap workfunction (no high-K capping layer) for a DDC device. Further, since the selective SiGe channel for PMOS is not required for DDC, both a simplification of the gate stack (no high-K capping layer) and simplification of the channel (no need for selective epitaxial channel) can be achieved by using DDC device architecture, while achieving the same or improved device performance.


The advantages of the gate stack in the bottom portion of FIG. 3A are more apparent when compared pictorially to a structure resulting from the conventional process flow of FIG. 1. FIG. 3B, shows a cross-section view after gate stack formation for logic and SRAM areas for another conventional device (top) and DDC devices (bottom) in accordance with an embodiment. FIG. 3B illustrates how a process flow is simplified when using DDC devices and a high-K/Metal/Polysilicon gate stack as compared to the process flow for a conventional device shown in FIG. 1. As noted above with respect to FIG. 1, the conventional process flow shown therein requires not only the formation of a SiGe channel for PMOS, but also formation of the different gate structures for PMOS and NMOS. In the example of FIG. B, the same capping material is used but the work function is modified between NMOS and PMOS by different metal layers for each. This is shown in the top portion of FIG. 3B. In contrast, as shown in the bottom portion of FIG. 3B, DDC devices allow once again the use of a simplified single gate stack. In particular, a DDC device provides a gate stack in which no high-K capping layer is required for NMOS. Accordingly, the dual workfunction (with selective high-K capping on NMOS and thick metal gate on PMOS) for the conventional device of the top portion of FIG. 3B can be replaced with a single midgap workfunction (no high-K capping) for a DDC device. Further, since the selective SiGe channel for PMOS is not required for DDC, both a simplification of the gate stack (no high-K capping) and simplification of the channel (no need for selective epitaxial channel) can be achieved by using DDC device architecture to achieve the same or improved performance.


For gate-last processes, similar simplifications can be observed, as shown in FIG. 4. FIG. 4 shows a cross-section view after gate stack formation for logic and SRAM areas, for conventional devices (top) and DDC devices (bottom) in accordance with an embodiment, formed using a gate-last process. FIG. 4 illustrates how a gate last process flow is simplified when using DDC devices and a high-K/Metal gate stack as compared to the process flow for a conventional device. In a conventional process flow, as shown in the top portion of FIG. 4, complementary gate stacks for CMOS (dual metal gates MGn and MGp) are required to achieve the necessary workfunctions. In contrast, since the DDC architecture provides a greater ability to set and control Vt, a simplified single midgap gate-last scheme can be used. Accordingly, a simplification of the gate stack is provided while achieving the same or improved performance.


As noted above, the present disclosure contemplates that a single midgap workfunction for DDC may not yield a desired device performance. Accordingly, in some embodiments a dual workfunction CMOS gate stacks can be used while still providing a process flow that is substantially simplified as compared to conventional process flows. In such embodiments, rather than using band edge metals to achieve the dual workfunction gates, as in conventional devices, the workfunction can be slightly shifted away from the midgap (˜4.6 eV). For example, a NMOS gate stack can be shifted toward the conduction band by ˜100-200 meV (meaning a workfunction of 4.5 eV or 4.4 eV) and a PMOS gate stack can be shifted toward the valence band by ˜100-200 mev (meaning a workfunction of 4.7 eV or 4.8 ev).


As can be seen from the foregoing, the workfunctions for the DDC device require less NMOS/PMOS delta (˜200-400 meV) as compared to the workfunctions required for conventional devices (typically, ˜1000-1100 meV) to come close to the silicon conduction (˜4.1 eV) and valence band edges (˜5.2 eV), respectively. This reduced delta enables simpler processing from a materials stand-point. First, in the case of gate-first process, workfunction shifts for NMOS and PMOS gate stacks can be achieved using lanthanum (La) based and aluminum (Al) based capping layers, respectively. However, for DDC devices, less La and Al can be used to achieve this reduced NMOS and PMOS workfunction shift from midgap. While this still requires capping layers, the simplification in the process is achieved because of the reduced need to compensate for the effects of the capping layers. In particular, less La and Al doping can be beneficial in reducing the risk of mobility or reliability degradation and thus reduces the need for other processes that counter such effects. Alternatively, the reduced shifts can be obtained using alternate methods and thus the capping layers can be omitted. For example, if only a moderate workfunction shift from midgap is required (e.g. less than about 200 meV), ion implantation of a work-function modifying material, for instance, nitrogen, directly into the metal gate is sufficient to make the necessary workfunction shift. In still other embodiments, ion implantation of a work-function modifying material into the metal gate can be used in conjunction with capping layers to make larger workfunction shifts while reducing the amount of capping layer materials needed. Again, this reduces the risk of mobility or reliability degradation and thus reduces the need for other processes that counter such effects.


As an alternative to capping layers and implants, the present disclosure contemplates the use of dual workfunction gate stacks using different metals. For example, dual workfunction gate stacks can be formed using metals selected from TiN, TaN, WN, Al, Ti, and any combinations of alloys thereof. Although the use of multiple metal gate materials might appear to introduce complexity, this nonetheless provides a process flow that is simpler than that for a conventional process. This is illustrated with respect to FIGS. 5-7. In particular, FIGS. 5-7 show how process flows that include gate-first with SiGe channel PMOS, gate-first without SiGe channel PMOS, and gate-last can be improved by simplification of the dual workfunction CMOS gate stacks and DDC transistors, with workfunctions of ˜4.4-4.5 eV and 4.7-4.8 eV for NMOS and PMOS, respectively.



FIG. 5 shows a cross-section view after gate stack formation for logic and SRAM areas, for conventional devices (top) and DDC devices (bottom) in accordance with an embodiment using dual metal gates, formed using a gate-first process. FIG. 5 illustrates how a process flow is simplified when using DDC devices having dual workfunction gate stack formed as described above as compared to the process flow shown in FIG. 1 for a conventional device. The top portion of FIG. 5 shows a gate-first CMOS from dual workfunction and dual channel (NMOS: Si, PMOS: SiGe) as previously described with respect to FIG. 1. The bottom portion of FIG. 5 shows a gate-first CMOS from dual workfunction gate CMOS gate stack (NMOS workfunction MGn ˜4.4-4.5 eV, and PMOS workfunction MGp ˜4.7-4.8 eV) and DDC channels. The simplification here entails removing the steps required to form the SiGe PMOS channels, forming the NMOS and PMOS metal gate stacks (by selecting the materials and thicknesses based upon the desired work functions) by masking off one set while forming the other and then masking the formed metal gates while forming the other metal gates. Since the metal gates for all are of the same vertical height, there is no need for a subsequent planarization of the polysilicon. As can be appreciated, the formation of NMOS metal (“MGn”) and PMOS metal (“MGp”) for DDC channels is relatively simpler than the etch, multi-dimensional deposition, and planarization steps required for the structure in the top row of FIG. 5, while still providing the same or enhanced device performance.


Similarly, FIG. 6 shows a cross-section view after gate stack formation for logic and SRAM areas, for (top) conventional devices using dual capping layers and (bottom) DDC devices in accordance with an embodiment using dual metal gates, formed using a gate-first process. Like FIG. 5, FIG. 6 illustrates simplification of gate-first CMOS from dual workfunction based on n-type capping layers and p-type capping layers (NMOS workfunction ˜4.1-4.2 eV, PMOS workfunction ˜5.1-5.2 eV), as shown in the top portion of FIG. 6, to a dual workfunction gate CMOS gate stack with relaxed workfunction requirements (NMOS workfunction MGn ˜4.4-4.5 eV, and PMOS workfunction MGp ˜4.7-4.8 eV), as shown in the bottom portion of FIG. 6. Specifically, rather than utilizing multiple capping layers (n-cap and p-cap) in combination with a single metal gate (MG), two different metal gate materials (MGp and MGn) are formed from selected midgap materials. As previously described with respect to FIG. 3B, the elimination of capping layers eliminates the complexities and issues regarding mobility and reliability degradation associated with increased amounts of La and Al doping. The process is also simplified in that rather than three processes (formation of n-cap, p-cap, and MG), only two processes are needed (formation of MGp and MGn). This process can be further simplified by utilizing the same metal for MGp and MGn and utilizing ion implantation of work-function adjusting materials to adjust the workfunctions.


In yet another example, FIG. 7 shows a cross-section view after gate stack formation for logic and SRAM areas, for conventional devices (top) using metal layers and DDC devices (bottom) in accordance with an embodiment using dual metal gates, formed using a gate-last process. FIG. 7 also illustrates simplification of gate-last CMOS from dual workfunction (NMOS workfunction MGn˜4.1-4.2 eV, PMOS workfunction MGp˜5.1-5.2 eV) to a dual workfunction gate CMOS gate stack with relaxed workfunction requirements (NMOS workfunction MGn′ ˜4.4-4.5 eV, and PMOS workfunction MGp′ ˜4.7-4.8 eV). As with the process associated with FIG. 6, simplification can be achieved by utilizing the same midgap metal for MGp′ and MGn′ and utilizing implant processes to adjust the workfunctions as needed.


As discussed above with respect to FIG. 9C, a mix of conventional and DDC devices may be desirable for some types of circuits and systems. For example, it may be desirable to use DDC devices on a die providing a system on a chip (SoC) or other die that include static random access memory (SRAM) circuits or other high performance circuits that would benefit from superior Vt control (improved Vt matching). For such die, it is possible to integrate DDC devices in the SRAM only, with HK/MG gate-first, as previously described with respect to FIG. 9C and as further illustrated in FIG. 8.



FIG. 8 shows a cross-section view after gate stack formation for logic and SRAM areas, for (top) conventional devices using the process of FIG. 1 and (bottom) DDC devices in accordance with an embodiment using the PMOS gate stack of FIG. 1. In particular, FIG. 8 shows how DDC devices can be incorporated into a conventional process flow to provide SRAM or other high performance devices and conventional devices in a logic portion. In this case, the active areas for the logic and SRAM portions can be formed as previously described with respect to FIG. 9C. As noted above, since high performance DDC devices can be designed with relaxed workfunction requirements, both types of the DDC SRAM devices (PMOS and NMOS) can utilize either the NMOS gate stack or the PMOS gate stack to deliver the appropriate high Vt. Part of the simplification here is also due to relaxed constraints during processing. In particular, NMOS/PMOS gate stack boundaries located near separating STI regions are not required. Thus, this can result in increased die yields due to the simplified process.


As shown above, each of the simplifications described above relies on selection of a gate stack process combined with a DDC channel. Accordingly, the only added concern in any of the foregoing processes is to ensure integrity of the undoped or slightly doped epitaxial layer. For example, maintaining the channel of the DDC device undoped throughout the entire processing provides low random dopant fluctuation (RDF), and consequent good matching characteristic and tight Vt control. In order to do that, special attention needs to be paid to the thermal budget steps of the process flow, with modification of some steps to reduce dopant diffusion into the undoped channel. Steps to ensure such integrity are described in the aforementioned documents incorporated by reference.


In the case where DDC devices are co-integrated with conventional devices, as illustrated in FIGS. 8 and 9C, minimization of the thermal budget of the process steps following the undoped epitaxial layer growth may be needed. This can be accomplished as follows without adding significant complexity to the process flow:


The anneal of the N-well and P-well of the legacy devices should be minimized. This can be achieved in different ways: lower the temperature of the (long) soak anneal to 850 C or less, or use of spike anneal (duration of 1 second or less) or use of millisecond anneal (like laser or flash anneal), or any combination of the above.


The hardmask blocking layer protecting the NMOS region as well as the DDC devices, that can be oxide and/or nitride, should be processed at low temperature preferably below ˜500 C, using plasma enhanced chemical vapor deposition (PECVD) or atomic layer deposition (ALD) techniques.


The SiGe channel module needs to be processed at low temperature, which implies that the pre-epitaxy clean and bake should be performed preferentially below 800 C and the SiGe epitaxy as well as the thin Silicon capping layer that is optionally grown on top of it should be performed at temperatures below 650 C, preferentially.


The STI module should be processed at low temperature.


A low temperature gate stack module should be used:

    • For both gate-first and gate-last, if the starting silicon dioxide is grown by thermal oxidation, then the oxidation temperature should be kept preferably below 850 C, or at least not exceed 900 degrees C. This also applies for the optional nitridation step of this interface layer. Deep Plasma Nitridation (DPN) can be used for that step, and the same thermal budget limitation as for the SiO2 growth applies.
    • For the gate-first process as well as for the so-called “partial” gate-last process (i.e. high-K first and metal-gate-last), the high-K film is deposited after the SiO2 interface layer formation. If the high-K film is deposited by ALD, the typical deposition temperature is typically low enough that it is not a concern (<550 C). However, if a metal organic chemical vapor deposition (MOCVD) technique is used, then the temperature again needs to be sufficiently low (preferably below 800 C). If a post-deposition annealing step is applied to densify the film, then its thermal budget should be kept below about 850 C if a spike-like anneal is applied (duration <5 seconds), or below 750 C if a “long” soak-like anneal is applied (duration >5 seconds).
    • For all HK/MG flows (gate-first and gate-last, “full” or “partial”), a polysilicon gate is deposited after the metal gate layer. The thermal budget of this deposition can also be a concern and should be reduced to preferably below 650 C.
    • For all HKMG flows, the source/drain dopant activation anneal is commonly done at high temperature using either a spike anneal combined, optionally, with a millisecond anneal. Here, to limit the overall thermal budget, a spike peak temperature of preferably less than 1030 C and an optional millisecond anneal with a peak temperature of preferentially less than 1250 C is used to minimize dopant diffusion into the channel.


While certain exemplary embodiments have been described and shown in the accompanying drawings, it is to be understood that such embodiments are merely illustrative of and not restrictive, and various other modifications may occur to those ordinarily skilled in the art. Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.


While various embodiments have been described above, it should be understood that they have been presented by way of example only, and not limitation. Numerous changes to the disclosed embodiments can be made in accordance with the disclosure herein without departing from the spirit or scope of the disclosure. The breadth and scope of the present invention should not be limited by any of the above described embodiments; rather, the scope of the invention should be defined in accordance with the following claims and their equivalents.


Although embodiments been illustrated and described with respect to one or more implementations, equivalent alterations and modifications will occur to others skilled in the art upon the reading and understanding of this specification and the annexed drawings. In addition, while a particular feature of the invention may have been disclosed with respect to only one of several implementations, such feature may be combined with one or more other features of the other implementations as may be desired and advantageous for any given or particular application.


The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. Furthermore, to the extent that the terms “including”, “includes”, “having”, “has”, “with”, or variants thereof are used in either the detailed description and/or the claims, such terms are intended to be inclusive in a manner similar to the term “comprising.”


Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.

Claims
  • 1. A method of fabricating a semiconductor device comprising: providing a substrate having a surface comprising silicon, the surface having formed therein a plurality of device regions comprising a first active region for a SRAM device, a second active region for the SRAM device, a third active region for a logic device, and a fourth active region for the logic device, the first active region comprising a first substantially undoped layer at the surface and a first highly doped p-type conductivity screening layer beneath the first substantially undoped layer, the second active region comprising a second substantially undoped layer at the surface and a second highly doped n-type conductivity screening layer beneath the second substantially undoped layer, the third active region comprising a doped p-type conductivity region extending from the surface, and the fourth active region comprising a layer of an alloy of silicon and germanium at the surface and a doped n-type conductivity region beneath the layer of the alloy of silicon and germanium,forming one of a first gate stack or a second gate stack in each of the first active region, the second active region, the third active region, and the fourth active region,wherein the first gate stack comprises at least one gate dielectric layer and at least one metal layer, and wherein the second gate stack comprises at least one gate dielectric layer, and at least one metal layer,wherein each of first and second gate stacks are of substantially mid-gap workfunctions.
  • 2. The method of claim 1, further comprising selecting the first gate stack for the third active region and selecting the second gate stack for the first active region, the second active region, and the fourth active region.
  • 3. The method of claim 1, wherein the at least one metal layer has a workfunction that is substantially midgap with respect to the first semiconductor material.
  • 4. The method of claim 1, wherein the gate dielectric layer comprises a high-K dielectric layer.
  • 5. The method of claim 1, further comprising providing a halo implant in the third active region and the fourth active region and not in the first active region or the second active region.
  • 6. The method of claim 1, wherein a thickness of the metal layer in the third active region is equal to a thickness of the metal layer in the fourth active region.
  • 7. The method of claim 1, wherein the workfunction of the first gate stack in the third active region and the workfunction of the second gate stack in the fourth active region are equal in value.
  • 8. A method of fabricating an integrated circuit comprising: providing a substrate having a surface comprising a semiconductor material, the surface having formed therein a plurality of device regions comprising a first active region for a SRAM device, a second active region for the SRAM device, a third active region for a logic device, and a fourth active region for the logic device, the first active region comprising a first substantially undoped layer at the surface and a first highly doped screening layer of a first conductivity type beneath the first substantially undoped layer, the second active region comprising a second substantially undoped layer at the surface and a second highly doped screening layer of a second conductivity type beneath the second substantially undoped layer, the third active region comprising a third substantially undoped layer at the surface and a third highly doped screening layer of a first conductivity type beneath the third substantially undoped layer, and the fourth active region comprising a fourth substantially undoped layer at the surface and a fourth highly doped screening layer of a second conductivity type beneath the fourth substantially undoped layer;forming shallow trench isolation regions separating the plurality of device regions; andafter the forming of the shallow trench isolation regions,forming at least one gate dielectric layer over the surface,forming a layer of a first metal over the dielectric layer, the first metal having a workfunction that is substantially midgap with respect to the semiconductor material, andforming a gate stack having a workfunction that is substantially midgap with respect to the semiconductor material in each of the first active region, the second active region, the third active region, and the fourth active region, comprising the at least one gate dielectric layer and the layer of the first metal.
  • 9. The method of claim 8, further comprising: prior to the forming of the layer of the first metal, providing at least one of a first capping layer for the first region and a second capping layer for the second region, wherein the first capping layer and the second capping layer are selected to adjust the workfunction of the first metal.
  • 10. The method of claim 8, wherein the first metal is formed over a first region of the dielectric layer corresponding to the first active area, and wherein the method further comprises: forming a layer of a second metal over a second region of the dielectric layer corresponding to the second active area, the second metal having a workfunction that is substantially midgap with respect to the semiconductor material,wherein the workfunction of the first metal and the workfunction of the second metal are not equal in value.
  • 11. The method of claim 8, wherein the at least one gate dielectric layer comprises a high-K dielectric layer, and wherein the first metal comprises at least one of TiN, TaN, WN, Al, Ti, or any alloys thereof.
  • 12. The method of claim 8, wherein the semiconductor material comprises silicon, wherein the plurality of regions further comprises a third active region having a doping of the first conductivity type and a fourth active region having doping of the second conductivity type, and wherein the method further comprises: prior to the forming of the at least one gate dielectric layer, forming a layer of an alloy of silicon and germanium at the surface in at least one of the third active region and the fourth active region.
  • 13. The method of claim 12, further comprising: removing a portion of the layer of the first metal corresponding to at least one of the first active region, the second active region, or the third active region;forming a capping layer; andforming a layer of a second metal over the capping layer.
  • 14. The method of claim 12, further comprising providing a halo implant in the third active region and the fourth active region and not in the first active region or the second active region.
  • 15. The method of claim 8, wherein a thickness of the layer of the first metal in the third active region is equal to a thickness of the layer of the first metal in the fourth active region.
  • 16. The method of claim 8, wherein the workfunction of the gate stack in the third active region and the workfunction of the gate stack in the fourth active region are equal in value.
  • 17. A method of fabricating a semiconductor device comprising: providing a substrate having a surface comprising a semiconductor material, the surface having formed therein a plurality of shallow trench isolation regions defining a plurality of device regions;introducing dopants into the plurality of device regions to define at least a first active region for a SRAM device, a second active region for the SRAM device, a third active region for a logic device, and a fourth active region for the logic device, the first active region comprising a first substantially undoped layer at the surface and a first highly doped screening layer of a first conductivity type beneath the first substantially undoped layer, the second active region comprising a second substantially undoped layer at the surface and a second highly doped screening layer of a second conductivity type beneath the second substantially undoped layer, the third active region comprising a third substantially undoped layer at the surface and a third highly doped screening layer of a first conductivity type beneath the third substantially undoped layer, and the fourth active region comprising a fourth substantially undoped layer at the surface and a fourth highly doped screening layer of a second conductivity type beneath the fourth substantially undoped layer; andafter the introducing of the dopants into the plurality of device regions,forming at least one gate dielectric layer over the surface,forming a layer of a first metal over the dielectric layer, the first metal having a workfunction that is substantially midgap with respect to the semiconductor material, andforming a gate stack having a workfunction that is substantially midgap with respect to the semiconductor material in each of the first active region, the second active region, the third active region, and the fourth active region, comprising the at least one gate dielectric layer and the layer of the first metal.
  • 18. The method of claim 17, further comprising: prior to the forming of the layer of the first metal, providing at least one of a first capping layer for the first region and a second capping layer for the second region, wherein the first capping layer and the second capping layer are selected to adjust the workfunction of the first metal.
  • 19. The method of claim 17, wherein the first metal is formed over a first region of the dielectric layer corresponding to the first active area, and wherein the method further comprises: forming a layer of a second metal over a second region of the dielectric layer corresponding the second active area, the second metal having a workfunction that is substantially midgap with respect to the semiconductor material,wherein the workfunction of the first metal and the workfunction of the second metal are not equal in value.
  • 20. The method of claim 17, wherein the at least one gate dielectric layer comprises a high-K dielectric layer, and wherein the first metal comprises at least one of TiN, TaN, WN, Al, Ti, or any alloys thereof.
  • 21. The method of claim 17, wherein the semiconductor material comprises silicon, wherein the introducing of the dopants into the plurality of device regions further defines a third active region having a doping of the first conductivity type and a fourth active region having doping of the second conductivity type, and wherein the method further comprises: prior to the forming of the at least one gate dielectric layer, forming a layer of an alloy of silicon and germanium at the surface in at least one of the third active region and the fourth active region.
  • 22. The method of claim 21, further comprising: removing a portion of the layer of the first metal corresponding to at least one of the first active region, the second active region, or the third active region;forming a capping layer; andforming a layer of a second metal over the capping layer.
  • 23. The method of claim 21, further comprising providing a halo implant in the third active region and the fourth active region and not in the first active region or the second active region.
  • 24. The method of claim 17, wherein a thickness of the layer of the first metal in the third active region is equal to a thickness of the layer of the first metal in the fourth active region.
  • 25. The method of claim 17, wherein the workfunction of the gate stack in the third active region and the workfunction of the gate stack in the fourth active region are equal in value.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. Non-Provisional patent application Ser. No. 13/489,824, filed Jun. 6, 2012 and entitled “CMOS GATE STACK STRUCTURES AND PROCESSES”, which claims priority to and the benefit of U.S. Provisional Patent Application No. 61/493,646, filed Jun. 6, 2011 and entitled “CMOS GATE STACK STRUCTURES AND PROCESSES”, the contents of both of which are hereby incorporated by reference in their entirety.

US Referenced Citations (511)
Number Name Date Kind
3958266 Athanas May 1976 A
4000504 Berger Dec 1976 A
4021835 Etoh et al. May 1977 A
4242691 Kotani et al. Dec 1980 A
4276095 Beilstein, Jr. et al. Jun 1981 A
4315781 Henderson Feb 1982 A
4518926 Swanson May 1985 A
4559091 Allen et al. Dec 1985 A
4578128 Mundt et al. Mar 1986 A
4617066 Vasudev Oct 1986 A
4662061 Malhi May 1987 A
4761384 Neppl et al. Aug 1988 A
4780748 Cunningham et al. Oct 1988 A
4819043 Yazawa et al. Apr 1989 A
4885477 Bird et al. Dec 1989 A
4908681 Nishida et al. Mar 1990 A
4945254 Robbins Jul 1990 A
4956311 Liou et al. Sep 1990 A
5034337 Mosher et al. Jul 1991 A
5144378 Hikosaka Sep 1992 A
5156989 Williams et al. Oct 1992 A
5156990 Mitchell Oct 1992 A
5166765 Lee et al. Nov 1992 A
5208473 Komori et al. May 1993 A
5294821 Iwamatsu Mar 1994 A
5298763 Shen et al. Mar 1994 A
5369288 Usuki Nov 1994 A
5373186 Schubert et al. Dec 1994 A
5384476 Nishizawa et al. Jan 1995 A
5426328 Yilmaz et al. Jun 1995 A
5444008 Han et al. Aug 1995 A
5547894 Mandelman et al. Aug 1996 A
5552332 Tseng et al. Sep 1996 A
5559368 Hu et al. Sep 1996 A
5608253 Liu et al. Mar 1997 A
5622880 Burr et al. Apr 1997 A
5624863 Helm et al. Apr 1997 A
5625568 Edwards et al. Apr 1997 A
5641980 Yamaguchi et al. Jun 1997 A
5663583 Matloubian et al. Sep 1997 A
5712501 Davies et al. Jan 1998 A
5719422 Burr et al. Feb 1998 A
5726488 Watanabe et al. Mar 1998 A
5726562 Mizuno Mar 1998 A
5731626 Eaglesham et al. Mar 1998 A
5736419 Naem Apr 1998 A
5753555 Hada May 1998 A
5754826 Gamal et al. May 1998 A
5756365 Kakumu May 1998 A
5763921 Okumura et al. Jun 1998 A
5780899 Hu et al. Jul 1998 A
5847419 Imai et al. Dec 1998 A
5856003 Chiu Jan 1999 A
5861334 Rho Jan 1999 A
5877049 Liu et al. Mar 1999 A
5885876 Dennen Mar 1999 A
5889315 Farrenkopf et al. Mar 1999 A
5895954 Yasumura et al. Apr 1999 A
5899714 Farrenkopf et al. May 1999 A
5918129 Fulford, Jr. et al. Jun 1999 A
5923067 Voldman Jul 1999 A
5923987 Burr Jul 1999 A
5936868 Hall Aug 1999 A
5946214 Heavlin et al. Aug 1999 A
5985705 Seliskar Nov 1999 A
5989963 Luning et al. Nov 1999 A
6001695 Wu Dec 1999 A
6020227 Bulucea Feb 2000 A
6043139 Eaglesham et al. Mar 2000 A
6060345 Hause et al. May 2000 A
6060364 Maszara et al. May 2000 A
6066533 Yu May 2000 A
6072217 Burr Jun 2000 A
6087210 Sohn Jul 2000 A
6087691 Hamamoto Jul 2000 A
6088518 Hsu Jul 2000 A
6091286 Blauschild Jul 2000 A
6096611 Wu Aug 2000 A
6103562 Son et al. Aug 2000 A
6121153 Kikkawa Sep 2000 A
6147383 Kuroda Nov 2000 A
6153920 Gossmann et al. Nov 2000 A
6157073 Lehongres Dec 2000 A
6175582 Naito et al. Jan 2001 B1
6184112 Maszara et al. Feb 2001 B1
6190979 Radens et al. Feb 2001 B1
6194259 Nayak et al. Feb 2001 B1
6198157 Ishida et al. Mar 2001 B1
6218892 Soumyanath et al. Apr 2001 B1
6218895 De et al. Apr 2001 B1
6221724 Yu et al. Apr 2001 B1
6229188 Aoki et al. May 2001 B1
6232164 Tsai et al. May 2001 B1
6235597 Miles May 2001 B1
6245618 An et al. Jun 2001 B1
6268640 Park et al. Jul 2001 B1
6271070 Kotani et al. Aug 2001 B2
6271551 Schmitz et al. Aug 2001 B1
6288429 Iwata et al. Sep 2001 B1
6297132 Zhang et al. Oct 2001 B1
6300177 Sundaresan et al. Oct 2001 B1
6313489 Letavic et al. Nov 2001 B1
6319799 Ouyang et al. Nov 2001 B1
6320222 Forbes et al. Nov 2001 B1
6323525 Noguchi et al. Nov 2001 B1
6326666 Bernstein et al. Dec 2001 B1
6335233 Cho et al. Jan 2002 B1
6358806 Puchner Mar 2002 B1
6380019 Yu et al. Apr 2002 B1
6391752 Colinge et al. May 2002 B1
6426260 Hshieh Jul 2002 B1
6426279 Huster et al. Jul 2002 B1
6432754 Assaderaghi et al. Aug 2002 B1
6444550 Hao et al. Sep 2002 B1
6444551 Ku et al. Sep 2002 B1
6449749 Stine Sep 2002 B1
6461920 Shirahata et al. Oct 2002 B1
6461928 Rodder Oct 2002 B2
6472278 Marshall et al. Oct 2002 B1
6482714 Hieda et al. Nov 2002 B1
6489224 Burr Dec 2002 B1
6492232 Tang et al. Dec 2002 B1
6500739 Wang et al. Dec 2002 B1
6503801 Rouse et al. Jan 2003 B1
6503805 Wang et al. Jan 2003 B2
6506640 Ishida et al. Jan 2003 B1
6518623 Oda et al. Feb 2003 B1
6521470 Lin et al. Feb 2003 B1
6534373 Yu Mar 2003 B1
6541328 Whang et al. Apr 2003 B2
6541829 Nishinohara et al. Apr 2003 B2
6548842 Bulucea et al. Apr 2003 B1
6551885 Yu Apr 2003 B1
6552377 Yu Apr 2003 B1
6563178 Moriwaki et al. May 2003 B2
6573129 Hoke et al. Jun 2003 B2
6576535 Drobny et al. Jun 2003 B2
6600200 Lustig et al. Jul 2003 B1
6620671 Wang et al. Sep 2003 B1
6624488 Kim Sep 2003 B1
6627473 Oikawa et al. Sep 2003 B1
6630710 Augusto Oct 2003 B1
6660605 Liu Dec 2003 B1
6662350 Fried et al. Dec 2003 B2
6667200 Sohn et al. Dec 2003 B2
6670260 Yu et al. Dec 2003 B1
6693333 Yu Feb 2004 B1
6727130 Kim et al. Apr 2004 B2
6730568 Sohn May 2004 B2
6737724 Hieda et al. May 2004 B2
6743291 Ang et al. Jun 2004 B2
6743684 Liu Jun 2004 B2
6751519 Satya et al. Jun 2004 B1
6753230 Sohn et al. Jun 2004 B2
6760900 Rategh et al. Jul 2004 B2
6770944 Nishinohara et al. Aug 2004 B2
6787424 Yu Sep 2004 B1
6797553 Adkisson et al. Sep 2004 B2
6797602 Kluth et al. Sep 2004 B1
6797994 Hoke et al. Sep 2004 B1
6808004 Kamm et al. Oct 2004 B2
6808994 Wang Oct 2004 B1
6813750 Usami et al. Nov 2004 B2
6821825 Todd et al. Nov 2004 B2
6821852 Rhodes Nov 2004 B2
6822297 Nandakumar et al. Nov 2004 B2
6831292 Currie et al. Dec 2004 B2
6835639 Rotondaro et al. Dec 2004 B2
6852602 Kanzawa et al. Feb 2005 B2
6852603 Chakravarthi et al. Feb 2005 B2
6881641 Wieczorek et al. Apr 2005 B2
6881987 Sohn Apr 2005 B2
6891439 Jachne et al. May 2005 B2
6893947 Martinez et al. May 2005 B2
6900519 Cantell et al. May 2005 B2
6901564 Stine et al. May 2005 B2
6916698 Mocuta et al. Jul 2005 B2
6917237 Tschanz et al. Jul 2005 B1
6927463 Iwata et al. Aug 2005 B2
6928128 Sidiropoulos Aug 2005 B1
6930007 Bu et al. Aug 2005 B2
6930360 Yamauchi et al. Aug 2005 B2
6957163 Ando Oct 2005 B2
6963090 Passlack et al. Nov 2005 B2
6995397 Yamashita et al. Feb 2006 B2
7002214 Boyd et al. Feb 2006 B1
7008836 Algotsson et al. Mar 2006 B2
7013359 Li Mar 2006 B1
7015546 Herr et al. Mar 2006 B2
7015741 Tschanz et al. Mar 2006 B2
7022559 Barnak et al. Apr 2006 B2
7036098 Eleyan et al. Apr 2006 B2
7038258 Liu et al. May 2006 B2
7039881 Regan May 2006 B2
7045456 Murto et al. May 2006 B2
7057216 Ouyang et al. Jun 2006 B2
7061058 Chakravarthi et al. Jun 2006 B2
7064039 Liu Jun 2006 B2
7064399 Babcock et al. Jun 2006 B2
7071103 Chan et al. Jul 2006 B2
7078325 Curello et al. Jul 2006 B2
7078776 Nishinohara et al. Jul 2006 B2
7089513 Bard et al. Aug 2006 B2
7089515 Hanafi et al. Aug 2006 B2
7091093 Noda et al. Aug 2006 B1
7105399 Dakshina-Murthy et al. Sep 2006 B1
7109099 Tan et al. Sep 2006 B2
7119381 Passlack Oct 2006 B2
7122411 Mouli Oct 2006 B2
7127687 Signore Oct 2006 B1
7132323 Haensch et al. Nov 2006 B2
7169675 Tan et al. Jan 2007 B2
7170120 Datta et al. Jan 2007 B2
7176137 Perng et al. Feb 2007 B2
7186598 Yamauchi et al. Mar 2007 B2
7189627 Wu et al. Mar 2007 B2
7193253 Doczy et al. Mar 2007 B2
7199430 Babcock et al. Apr 2007 B2
7202517 Dixit et al. Apr 2007 B2
7208354 Bauer Apr 2007 B2
7211871 Cho May 2007 B2
7221021 Wu et al. May 2007 B2
7223646 Miyashita et al. May 2007 B2
7226833 White et al. Jun 2007 B2
7226843 Weber et al. Jun 2007 B2
7230680 Fujisawa et al. Jun 2007 B2
7235822 Li Jun 2007 B2
7256639 Koniaris et al. Aug 2007 B1
7259428 Inaba Aug 2007 B2
7260562 Czajkowski et al. Aug 2007 B2
7294877 Rueckes et al. Nov 2007 B2
7297994 Wieczorek et al. Nov 2007 B2
7301208 Handa et al. Nov 2007 B2
7304350 Misaki Dec 2007 B2
7307471 Gammie et al. Dec 2007 B2
7312500 Miyashita et al. Dec 2007 B2
7323754 Ema et al. Jan 2008 B2
7332439 Lindert et al. Feb 2008 B2
7348629 Chu et al. Mar 2008 B2
7354833 Liaw Apr 2008 B2
7380225 Joshi et al. May 2008 B2
7390719 Jeon et al. Jun 2008 B2
7398497 Sato et al. Jul 2008 B2
7402207 Besser et al. Jul 2008 B1
7402872 Murthy et al. Jul 2008 B2
7416605 Zollner et al. Aug 2008 B2
7427788 Li et al. Sep 2008 B2
7442971 Wirbeleit et al. Oct 2008 B2
7449733 Inaba et al. Nov 2008 B2
7462908 Bol et al. Dec 2008 B2
7469164 Du-Nour Dec 2008 B2
7470593 Rouh et al. Dec 2008 B2
7485536 Jin et al. Feb 2009 B2
7487474 Ciplickas et al. Feb 2009 B2
7491988 Tolchinsky et al. Feb 2009 B2
7494861 Chu et al. Feb 2009 B2
7496862 Chang et al. Feb 2009 B2
7496867 Turner et al. Feb 2009 B2
7498637 Yamaoka et al. Mar 2009 B2
7501324 Babcock et al. Mar 2009 B2
7503020 Allen et al. Mar 2009 B2
7507999 Kusumoto et al. Mar 2009 B2
7514766 Yoshida Apr 2009 B2
7521323 Surdeanu et al. Apr 2009 B2
7531393 Doyle et al. May 2009 B2
7531836 Liu et al. May 2009 B2
7538364 Twynam May 2009 B2
7538412 Schulze et al. May 2009 B2
7562233 Sheng et al. Jul 2009 B1
7564105 Chi et al. Jul 2009 B2
7566600 Mouli Jul 2009 B2
7569456 Ko et al. Aug 2009 B2
7586322 Xu et al. Sep 2009 B1
7592241 Takao Sep 2009 B2
7595243 Bulucea et al. Sep 2009 B1
7598142 Ranade et al. Oct 2009 B2
7605041 Ema et al. Oct 2009 B2
7605060 Meunier-Beillard et al. Oct 2009 B2
7605429 Bernstein et al. Oct 2009 B2
7608496 Chu Oct 2009 B2
7615802 Elpelt et al. Nov 2009 B2
7622341 Chudzik et al. Nov 2009 B2
7638380 Pearce Dec 2009 B2
7638433 Yun et al. Dec 2009 B2
7642140 Bae et al. Jan 2010 B2
7644377 Saxe et al. Jan 2010 B1
7645665 Kubo et al. Jan 2010 B2
7651920 Siprak Jan 2010 B2
7655523 Babcock et al. Feb 2010 B2
7673273 Madurawe et al. Mar 2010 B2
7675126 Cho Mar 2010 B2
7675317 Perisetty Mar 2010 B2
7678638 Chu et al. Mar 2010 B2
7681628 Joshi et al. Mar 2010 B2
7682887 Dokumaci et al. Mar 2010 B2
7683442 Burr et al. Mar 2010 B1
7696000 Liu et al. Apr 2010 B2
7704822 Jeong Apr 2010 B2
7704844 Zhu et al. Apr 2010 B2
7709828 Braithwaite et al. May 2010 B2
7709901 Li May 2010 B2
7723750 Zhu et al. May 2010 B2
7737472 Kondo et al. Jun 2010 B2
7741138 Cho Jun 2010 B2
7741200 Cho et al. Jun 2010 B2
7745270 Shah et al. Jun 2010 B2
7750374 Capasso et al. Jul 2010 B2
7750381 Hokazono et al. Jul 2010 B2
7750405 Nowak Jul 2010 B2
7750682 Bernstein et al. Jul 2010 B2
7755144 Li et al. Jul 2010 B2
7755146 Helm et al. Jul 2010 B2
7759206 Luo et al. Jul 2010 B2
7759714 Itoh et al. Jul 2010 B2
7761820 Berger et al. Jul 2010 B2
7786537 Manabe Aug 2010 B2
7795677 Bangsaruntip et al. Sep 2010 B2
7808045 Kawahara et al. Oct 2010 B2
7808410 Kim et al. Oct 2010 B2
7811873 Mochizuki Oct 2010 B2
7811881 Cheng et al. Oct 2010 B2
7818702 Mandelman et al. Oct 2010 B2
7821066 Lebby et al. Oct 2010 B2
7829402 Matocha et al. Nov 2010 B2
7831873 Trimberger et al. Nov 2010 B1
7846822 Seebauer et al. Dec 2010 B2
7855118 Hoentschel et al. Dec 2010 B2
7859013 Chen et al. Dec 2010 B2
7863163 Bauer Jan 2011 B2
7867835 Lee et al. Jan 2011 B2
7883977 Babcock et al. Feb 2011 B2
7888205 Herner et al. Feb 2011 B2
7888747 Hokazono Feb 2011 B2
7895546 Lahner et al. Feb 2011 B2
7897495 Ye et al. Mar 2011 B2
7906413 Cardone et al. Mar 2011 B2
7906813 Kato Mar 2011 B2
7910419 Fenouillet-Beranger et al. Mar 2011 B2
7919791 Flynn et al. Apr 2011 B2
7926018 Moroz et al. Apr 2011 B2
7935984 Nakano May 2011 B2
7941776 Majumder et al. May 2011 B2
7945800 Gomm et al. May 2011 B2
7948008 Liu et al. May 2011 B2
7952147 Ueno et al. May 2011 B2
7960232 King et al. Jun 2011 B2
7960234 Huffman et al. Jun 2011 B2
7960238 Kohli et al. Jun 2011 B2
7968400 Cai Jun 2011 B2
7968411 Williford Jun 2011 B2
7968440 Seebauer Jun 2011 B2
7968459 Bedell et al. Jun 2011 B2
7989900 Haensch et al. Aug 2011 B2
7994573 Pan Aug 2011 B2
8004024 Furukawa et al. Aug 2011 B2
8012827 Yu et al. Sep 2011 B2
8029620 Kim et al. Oct 2011 B2
8039332 Bernard et al. Oct 2011 B2
8046598 Lee Oct 2011 B2
8048791 Hargrove et al. Nov 2011 B2
8048810 Tsai et al. Nov 2011 B2
8051340 Cranford, Jr. et al. Nov 2011 B2
8053340 Colombeau et al. Nov 2011 B2
8063466 Kurita Nov 2011 B2
8067279 Sadra et al. Nov 2011 B2
8067280 Wang et al. Nov 2011 B2
8067302 Li Nov 2011 B2
8076719 Zeng et al. Dec 2011 B2
8097529 Krull et al. Jan 2012 B2
8103983 Agarwal et al. Jan 2012 B2
8105891 Yeh et al. Jan 2012 B2
8106424 Schruefer Jan 2012 B2
8106481 Rao Jan 2012 B2
8110487 Griebenow et al. Feb 2012 B2
8114761 Mandrekar et al. Feb 2012 B2
8119482 Bhalla et al. Feb 2012 B2
8120069 Hynecek Feb 2012 B2
8129246 Babcock et al. Mar 2012 B2
8129797 Chen et al. Mar 2012 B2
8134159 Hokazono Mar 2012 B2
8143120 Kerr et al. Mar 2012 B2
8143124 Challa et al. Mar 2012 B2
8143678 Kim et al. Mar 2012 B2
8148774 Mori et al. Apr 2012 B2
8163619 Yang et al. Apr 2012 B2
8169002 Chang et al. May 2012 B2
8170857 Joshi et al. May 2012 B2
8173499 Chung et al. May 2012 B2
8173502 Yan et al. May 2012 B2
8176461 Trimberger May 2012 B1
8178430 Kim et al. May 2012 B2
8179530 Levy et al. May 2012 B2
8183096 Wirbeleit May 2012 B2
8183107 Mathur et al. May 2012 B2
8185865 Gupta et al. May 2012 B2
8187959 Pawlak et al. May 2012 B2
8188542 Yoo et al. May 2012 B2
8193641 Rachmady et al. Jun 2012 B2
8196545 Kurosawa Jun 2012 B2
8201122 Dewey, III et al. Jun 2012 B2
8207582 Goswami Jun 2012 B2
8214190 Joshi et al. Jul 2012 B2
8217423 Liu et al. Jul 2012 B2
8225255 Ouyang et al. Jul 2012 B2
8227307 Chen et al. Jul 2012 B2
8236661 Dennard et al. Aug 2012 B2
8236686 Lee et al. Aug 2012 B2
8239803 Kobayashi Aug 2012 B2
8247300 Babcock et al. Aug 2012 B2
8255843 Chen et al. Aug 2012 B2
8258026 Bulucea Sep 2012 B2
8266567 El Yahyaoui et al. Sep 2012 B2
8286180 Foo Oct 2012 B2
8288798 Passlack Oct 2012 B2
8293632 Kadoshima et al. Oct 2012 B2
8299562 Li et al. Oct 2012 B2
8319287 Lavoie et al. Nov 2012 B2
8324059 Guo et al. Dec 2012 B2
20010014495 Yu Aug 2001 A1
20020042184 Nandakumar et al. Apr 2002 A1
20030006415 Yokogawa et al. Jan 2003 A1
20030047763 Hieda et al. Mar 2003 A1
20030122203 Nishinohara et al. Jul 2003 A1
20030173626 Burr Sep 2003 A1
20030183856 Wieczorek et al. Oct 2003 A1
20030215992 Sohn et al. Nov 2003 A1
20040075118 Heinemann et al. Apr 2004 A1
20040075143 Bae et al. Apr 2004 A1
20040084731 Matsuda et al. May 2004 A1
20040087090 Grudowski et al. May 2004 A1
20040126947 Sohn Jul 2004 A1
20040175893 Vatus et al. Sep 2004 A1
20040180488 Lee Sep 2004 A1
20050106824 Alberto et al. May 2005 A1
20050116282 Pattanayak et al. Jun 2005 A1
20050250289 Babcock et al. Nov 2005 A1
20050280075 Ema et al. Dec 2005 A1
20060022270 Boyd et al. Feb 2006 A1
20060049464 Rao Mar 2006 A1
20060068555 Zhu et al. Mar 2006 A1
20060068586 Pain Mar 2006 A1
20060071278 Takao Apr 2006 A1
20060154428 Dokumaci Jul 2006 A1
20060197158 Babcock et al. Sep 2006 A1
20060203581 Joshi et al. Sep 2006 A1
20060220114 Miyashita et al. Oct 2006 A1
20060223248 Venugopal et al. Oct 2006 A1
20070040222 Van Camp et al. Feb 2007 A1
20070052037 Luan Mar 2007 A1
20070117326 Tan et al. May 2007 A1
20070158790 Rao Jul 2007 A1
20070212861 Chidambarrao et al. Sep 2007 A1
20070238253 Tucker Oct 2007 A1
20080067589 Ito et al. Mar 2008 A1
20080108208 Arevalo et al. May 2008 A1
20080169493 Lee et al. Jul 2008 A1
20080169516 Chung Jul 2008 A1
20080197439 Goerlach et al. Aug 2008 A1
20080227250 Ranade et al. Sep 2008 A1
20080237661 Ranade et al. Oct 2008 A1
20080258198 Bojarczuk et al. Oct 2008 A1
20080272409 Sonkusale et al. Nov 2008 A1
20090057746 Sugll et al. Mar 2009 A1
20090108350 Cai et al. Apr 2009 A1
20090134468 Tsuchiya et al. May 2009 A1
20090224319 Kohli Sep 2009 A1
20090302388 Cai et al. Dec 2009 A1
20090309140 Khamankar et al. Dec 2009 A1
20090311837 Kapoor Dec 2009 A1
20090321849 Miyamura et al. Dec 2009 A1
20100012988 Yang et al. Jan 2010 A1
20100038724 Anderson et al. Feb 2010 A1
20100100856 Mittal Apr 2010 A1
20100148153 Hudait et al. Jun 2010 A1
20100149854 Vora Jun 2010 A1
20100187641 Zhu et al. Jul 2010 A1
20100207182 Paschal Aug 2010 A1
20100270600 Inukai et al. Oct 2010 A1
20100283107 Muller et al. Nov 2010 A1
20100327364 Tsuchiya Dec 2010 A1
20110059588 Kang Mar 2011 A1
20110073961 Dennard et al. Mar 2011 A1
20110074498 Thompson et al. Mar 2011 A1
20110079860 Verhulst Apr 2011 A1
20110079861 Shifren et al. Apr 2011 A1
20110095811 Chi et al. Apr 2011 A1
20110147828 Murthy et al. Jun 2011 A1
20110169082 Zhu et al. Jul 2011 A1
20110169141 Shepard et al. Jul 2011 A1
20110175170 Wang et al. Jul 2011 A1
20110180880 Chudzik et al. Jul 2011 A1
20110193164 Zhu Aug 2011 A1
20110212590 Wu et al. Sep 2011 A1
20110230039 Mowry et al. Sep 2011 A1
20110242921 Tran et al. Oct 2011 A1
20110248352 Shifren et al. Oct 2011 A1
20110294278 Eguchi et al. Dec 2011 A1
20110309447 Arghavani et al. Dec 2011 A1
20120021594 Gurtej et al. Jan 2012 A1
20120034745 Colombeau et al. Feb 2012 A1
20120056275 Cai et al. Mar 2012 A1
20120065920 Nagumo et al. Mar 2012 A1
20120108050 Chen et al. May 2012 A1
20120132998 Kwon et al. May 2012 A1
20120138953 Cai et al. Jun 2012 A1
20120146155 Hoentschel et al. Jun 2012 A1
20120167025 Gillespie et al. Jun 2012 A1
20120187491 Zhu et al. Jul 2012 A1
20120190177 Kim et al. Jul 2012 A1
20120199909 Schulz et al. Aug 2012 A1
20120223363 Kronholz et al. Sep 2012 A1
Foreign Referenced Citations (13)
Number Date Country
0274278 Jul 1988 EP
0312237 Apr 1989 EP
0531621 Mar 1993 EP
0683515 Nov 1995 EP
0889502 Jan 1999 EP
1450394 Aug 2004 EP
59193066 Nov 1984 JP
4186774 Jul 1992 JP
8153873 Jun 1996 JP
8288508 Nov 1996 JP
2004087671 Mar 2004 JP
794094 Jan 2008 KR
WO2011062788 May 2011 WO
Non-Patent Literature Citations (33)
Entry
Komaragiri, R. et al., “Depletion-Free Poly Gate Electrode Architecture for Sub 100 Nanometer CMOS Devices with High-K Gate Dielectrics”, IEEE IEDM Tech Dig., San Francisco CA, 833-836, Dec. 13-15, (Dec. 2004).
Samsudin, K et al., “Integrating Intrinsic Parameter Fluctuation Description into BSIMSOI to Forecast sub-15nm UTB SOI based 6T SRAM Operation”, Solid-State Electronics (50), pp. 86-93 (2006).
Wong, H et al., “Nanoscale CMOS”, Proceedings of the IEEE, Vo. 87, No. 4, pp. 537- 570 (Apr. 1999).
Banerjee, et al. “Compensating Non-Optical Effects using Electrically-Driven Optical Proximity Correction”, Proc. of SPIE vol. 7275 7275OE (2009).
Cheng, et al. “Extremely Thin SOI (ETSOI) CMOS with Record Low Variability for Low Power System-on-Chip Applications”, Electron Devices Meeting (IEDM) (Dec. 2009).
Cheng, et al. “Fully Depleted Extremely Thin SOI Technology Fabricated by a Novel Integration Scheme Feturing Implant-Free, Zero-Silicon-Loss, and Faceted Raised Source/Drain”, Symposium on VLSI Technology Digest of Technical Papers, pp. 212-213 (2009).
Drennan, et al. “Implications of Proximity Effects for Analog Design”, Custom Integrated Circuits Conference, pp. 169-176 (Sep. 2006).
Hook, et al. “Lateral Ion Implant Straggle and Mask Proximity Effect”, IEEE Transactions on Electron Devices, vol. 50, No. 9, pp. 1946-1951 (Sep. 2003).
Hori, et al., “A 0.1 μm CMOS with a Step Channel Profile Formed by Ultra High Vacuum CVD and In-Situ Doped Ions”, Proceedsing of the International Electron Devices Meeting, New York, IEEE, US, pp. 909-911 (Dec. 1993).
Matshuashi, et al. “High-Performance Double-Layer Epitaxial-Channel PMOSFET Compatible with a Single Gate CMOSFET”, Symposium on VLSI Technology Digest of Technical Papers, pp. 36-37 (1996).
Shao, et al., “Boron Diffusion in Silicon: The Anomalies and Control by Point Defect Engineering”, Materials Science and Engineering R: Reports, vol. 42, No. 3-4, pp. 65-114, (2003).
Sheu, et al. “Modeling the Well-Edge Proximity Effect in Highly Scaled MOSFETs”, IEEE Transactions on Electron Devices, vol. 53, No. 11, pp. 2792-2798 (Nov. 2006).
Abiko, H et al., “A Channel Engineering Combined with Channel Epitaxy Optimization and TED Suppression for 0.15μm n-n Gate CMOS Technology”, 1995 Symposium on VLSI Technology Digest of Technical Papers, pp. 23-24 (1995).
Chau, R et al., “A 50nm Depleted-Substrate CMOS Transistor (DST)”, Electron Device Meeting 2001, IEDM Technical Digest, IEEE International, pp. 29.1.1-29.1.4 (2001).
Ducroquet, F et al. “Fully Depleted Silicon-On-Insulator nMOSFETs with Tensile Strained High Carbon Content Si1-yCyChannel”, ECS 210th Meeting, Abstract 1033 (2006).
Ernst, T et al., “Nanoscaled MOSFET Transistors on Strained Si, SiGe, Ge Layers: Some Integration and Electrical Properties Features”, ECS Trans. 2006, vol. 3, Issue 7, pp. 947-961 (2006).
Goesele, U et al., Diffusion Engineering by Carbon in Silicon, Mat. Res. Soc. Symp. vol. 610 (2000).
Hokazono, A et al., “Steep Channel & Halo Profiles Utilizing Boron-Diffusion-Barrier Layers (Si:C) for 32 nm Node and Beyond”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 112-113 (2008).
Hokazono, A et al., “Steep Channel Profiles in n/pMOS Controlled by Boron-Doped Si:C Layers for Continual Bulk-CMOS Scaling”, IEDM09-676 Symposium, pp. 29.1.1-29.1.4 (2009).
Holland, OW and Thomas, DK “A Method to Improve Activation of Implanted Dopants in SiC”, Oak Ridge National Laboratory, Oak Ridge, TN (2001).
Kotaki, H., et al., “Novel Bulk Dynamic Threshold Voltage MOSFET (B-DTMOS) with Advanced Isolation (SITOS) and Gate to Shallow-Well Contact (SSS-C) Processes for Ultra Low Power Dual Gate CMOS”, IEDM 96, pp. 459-462 (1996).
Lavéant, P. “Incorporation, Diffusion and Agglomeration of Carbon in Silicon”, Solid State Phenomena, vols. 82-84, pp. 189-194 (2002).
Noda, K et al., “A 0.1-μm Delta-Doped MOSFET Fabricated with Post-Low-Energy Implanting Selective Epitaxy” IEEE Transactions on Electron Devices, vol. 45, No. 4, pp. 809-814 (Apr. 1998).
Ohguro, T et al., “An 0.18-μm CMOS for Mixed Digital and Analog Aplications with Zero-Volt-Vth Epitaxial-Channel MOSFET'S”, IEEE Transactions on Electron Devices, vol. 46, No. 7, pp. 1378-1383 (Jul. 1999).
Pinacho, R et al., “Carbon in Silicon: Modeling of Diffusion and Clustering Mechanisms”, Journal of Applied Physics, vol. 92, No. 3, pp. 1582-1588 (Aug. 2002).
Robertson, LS et al., “The Effect of Impurities on Diffusion and Activation of Ion Implanted Boron in Silicon”, Mat. Res. Soc. Symp. vol. 610 (2000).
Scholz, R et al., “Carbon-Induced Undersaturation of Silicon Self-Interstitials”, Appl. Phys. Lett. 72(2), pp. 200-202 (Jan. 1998).
Scholz, RF et al., “The Contribution of Vacancies to Carbon Out-Diffusion in Silicon”, Appl. Phys. Lett., vol. 74, No. 3, pp. 392-394 (Jan. 1999).
Stolk, PA et al., “Physical Mechanisms of Transient Enhanced Dopant Diffusion in Ion-Implanted Silicon”, J. Appl. Phys. 81(9), pp. 6031-6050 (May 1997).
Thompson, S et al., “MOS Scaling: Transistor Challenges for the 21st Century”, Intel Technology Journal Q3′ 1998, pp. 1-19 (1998).
Wann, C. et al., “Channel Profile Optimization and Device Design for Low-Power High-Performance Dynamic-Threshold MOSFET”, IEDM 96, pp. 113-116 (1996).
Werner, P et al., “Carbon Diffusion in Silicon”, Applied Physics Letters, vol. 73, No. 17, pp. 2465-2467 (Oct. 1998).
Yan, Ran-Hong et al., “Scaling the Si MOSFET: From Bulk to SOI to Bulk”, IEEE Transactions on Electron Devices, vol. 39, No. 7 (Jul. 1992).
Provisional Applications (1)
Number Date Country
61493646 Jun 2011 US
Continuations (1)
Number Date Country
Parent 13489824 Jun 2012 US
Child 14266115 US