Cyclical deposition of germanium

Information

  • Patent Grant
  • 9218963
  • Patent Number
    9,218,963
  • Date Filed
    Thursday, December 19, 2013
    10 years ago
  • Date Issued
    Tuesday, December 22, 2015
    8 years ago
Abstract
In some aspects, methods for forming a germanium thin film using a cyclical deposition process are provided. In some embodiments, the germanium thin film is formed on a substrate in a reaction chamber, and the process includes one or more deposition cycles of alternately and sequentially contacting the substrate with a vapor phase germanium precursor and a nitrogen reactant. In some embodiments, the process is repeated until a germanium thin film of desired thickness has been formed.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


The application relates to deposition processes for forming germanium films.


2. Background


Germanium thin films are used in a variety of contexts, particularly in the semiconductor industry. For example, Ge devices are of interest because of Ge high hole mobility. Low Dit interface formation with HfO2 high-k material will allow for good Ge based FinFETs. Germanium films may also be used for epitaxial layers, sacrificial layers and for the formation of germanides. In many situations, the films are deposited on high aspect ratio structures, such as in the formation of FinFETs. Deposition of relatively pure germanium at relatively low temperatures by highly conformal processes has heretofore been difficult.


SUMMARY

In some aspects, methods for forming a germanium thin film using a cyclical deposition process are provided. In some embodiments, the germanium thin film is formed on a substrate in a reaction chamber, and the process includes one or more deposition cycles of alternately and sequentially contacting the substrate with a vapor phase germanium precursor and a nitrogen reactant. In some embodiments, the process is repeated until a germanium thin film of desired thickness has been formed.


According to some embodiments, after contacting the substrate with a vapor phase germanium precursor, the substrate is exposed to a purge gas and/or a vacuum to remove excess germanium precursor and reaction byproducts from the substrate surface, if any. In some embodiments, after contacting the substrate with a vapor phase nitrogen reactant, the substrate is exposed to a purge gas and/or a vacuum to remove excess nitrogen reactant and reaction byproducts from the substrate surface, if any.


According to some embodiments, the germanium reactant at least partially decomposes in each deposition cycle. In some embodiments, the process is performed at a temperature below the temperature at which the germanium precursor decomposes without the presence of another precursor. In some embodiments, the process is performed at a temperature below about 600° C. In some embodiments, the process is performed at a temperature below about 500° C.


According to some embodiments, the germanium film is an elemental germanium film. In some embodiments, the germanium film comprises less than about 5 at-% impurities. In some embodiments, the germanium thin film contains less than about 3 at-% oxygen. The process of claim 1, wherein the growth rate is greater than about 2 angstroms/cycle.


According to some embodiments, the nitrogen reactant comprises ammonia, elemental nitrogen, nitrogen plasma, or nitrogen radicals. In some embodiments, the Ge-precursor is a germanium alkoxide or alkylamine. In some embodiments, the germanium precursor is not a germane. In some embodiments, the germanium precursor is Ge(OCH2CH3)4.


In some aspects, methods for forming a germanium thin film using a cyclical deposition process are provided, in which the cyclical process includes continuously flowing a nitrogen reactant through the reaction chamber, contacting the substrate with a vapor phase Ge precursor, removing excess Ge precursor and reaction by products, if any, from the reaction space by ceasing to provide Ge precursor to the reaction chamber, and repeating the contacting and removing steps until a germanium thin film of the desired thickness has been formed. In some embodiments, the concentration of the nitrogen reactant is kept low enough to suppress any gas-phase reaction between the nitrogen reactant and the Ge precursor.


In some aspects, methods for forming a germanium thin film using a cyclical deposition process are provided, in which at least one of the deposition cycles includes contacting the substrate with a first vapor phase germanium precursor, exposing the substrate to a purge gas and/or a vacuum to remove excess germanium precursor and reaction by-products from the substrate surface, if any, contacting the substrate with a second vapor phase reactant, exposing the substrate to a purge gas and/or a vacuum to remove excess nitrogen reactant and reaction by-products from the substrate surface, if any, and repeating the contacting and removing steps until a germanium thin film of the desired thickness has been formed. In some embodiments, the second reactant does not comprise H2 or H2-based plasma species. In some embodiments, the germanium thin film comprises elemental germanium with less than 5% impurities.





BRIEF DESCRIPTION OF THE DRAWINGS

Various embodiments will be better understood from the appended drawings, which are meant to illustrate and not to limit the invention, and wherein:



FIG. 1 illustrates an exemplary cyclical germanium deposition process according to some embodiments of the present disclosure.



FIGS. 2A-D show images from a scanning electron microscope (SEM) of various germanium films deposited at different temperatures.



FIGS. 3A and 3B show SEM images of two germanium films deposited at 375° C. and 385° C., respectively.





DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS

In one aspect, methods of depositing germanium thin films by a cyclical deposition process are provided. In some embodiments a germanium thin film is formed on a substrate by a vapor deposition process comprising one or more deposition cycles in which a substrate is alternately and sequentially contacted with a vapor phase germanium precursor and a vapor phase reducing agent, typically a nitrogen reactant. In the first part of the deposition cycle, a layer of the germanium precursor forms on the substrate surface. In the second part of the deposition cycle, the nitrogen reactant subsequently reacts with the germanium precursor to form a germanium thin film.


In some embodiments the vapor deposition process is an atomic layer deposition process and the germanium precursor does not decompose. However, in some embodiments the germanium precursor at least partially decomposes during the deposition process.


In some embodiments a relatively pure germanium film is deposited by a cyclical deposition process. For example, the germanium film may be at least 90%, 95%, 97%, 98% or 99% pure germanium in some embodiments.


In some embodiments the germanium precursor may be an alkoxide. For example, in some embodiments the germanium precursor is selected from germanium ethoxide (GeOEt)4 and tetrakis(dimethylamino) germanium (TDMAGe). Other possible germanium precursors are provided below and may include germanium compounds containing Ge—O bonds, Ge—C bonds (for example, germanium alkyls), or Ge—N bonds (for example, germanium alkylamines). In some embodiments the germanium precursor is not a halide. In some embodiments, the Ge precursor contains a halide in at least one ligand, but not in all ligands. According to some embodiments, the germanium precursor does not include only germanium and hydrogen. For example, in some embodiments the germanium precursor is not a germane (GeHx).


In some embodiments the nitrogen reactant comprises compounds containing N—H bonds, such as NH3, nitrogen-containing plasma, atomic nitrogen, and/or nitrogen radicals.


In some embodiments, germanium thin films are deposited by alternately and sequentially contacting a substrate with Ge(OCH2CH3)4 and NH3. In some embodiments germanium thin films are deposited by alternately and sequentially contacting a substrate with tetrakis(dimethylamino) germanium (TDMAGe) and NH3.


Germanium films may be used in a variety of different contexts. For example in some embodiments a germanium film may serve as an epitaxial layer. In some embodiments a germanium film does not serve as an epitaxial or single-crystal layer. In some embodiments a germanium film may serve as a sacrificial layer. In some embodiments a germanium layer may be used for the formation of a germanide. In some embodiments, a germanium layer may be used in a germanium condensation process. In some embodiments a germanium layer may be deposited on a high aspect ratio structure, such as a FinFET structure. Other contexts in which germanium thin films may be utilized will be apparent to the skilled artisan. For example, germanium thin films may find use in optical applications. In some embodiments, the germanium films are annealed after the deposition as desired depending on the application.


The cyclical deposition processes disclosed herein allow for conformal deposition of germanium films. In some embodiments, the germanium films deposited have at least 50%, 80%, 90%, 95% or higher conformality. In some embodiments the films are about 100% conformal.


The substrate may be, for example, a semiconductor substrate. In some embodiments the substrate surface is H-terminated.


The substrate may be treated prior to depositing the germanium layer. For example, the substrate may be treated with a passivation chemical to prevent oxidation during air exposure prior to depositing germanium. In other embodiments the substrate is treated to form an interfacial layer prior to depositing germanium.


In some embodiments, following germanium deposition, a further film is deposited. The additional film may be directly over and contacting the germanium layer. In some embodiments a metal film is deposited over the germanium film, for example for forming a metal germanide. Nickel may be deposited over a germanium layer and subsequently annealed to form a nickel germanide. In some embodiments a high-k film is deposited after the germanium is deposited. The high-k layer or other film may be deposited by ALD or by other known deposition methods. In some embodiments, a HfO2 layer is deposited over the germanium layer. In some embodiments an Al2O3 layer is deposited over the germanium layer. In some embodiments, a deposition process for a film deposited on top of a germanium film uses water as an oxygen source. In some embodiments, a deposition process for a film deposited on top of a germanium film uses ozone as an oxygen source. In some embodiments a deposition process for a film deposited on top of a germanium film uses oxygen atoms, oxygen radicals or oxygen containing plasma as an oxygen source.


Cyclical Deposition Process


As noted above, processes described herein enable use of cyclical layer deposition techniques to deposit conformal germanium layers. The cyclical deposition process is mostly surface-controlled (based on controlled reactions at the substrate surface) and thus has the advantage of providing high conformality at relatively low temperatures. However, in some embodiments, the germanium precursor may at least partially decompose. Accordingly, in some embodiments the cyclical process described herein is a pure ALD process in which no decomposition of precursors is observed. In other embodiments, reaction conditions, such as reaction temperature, are selected such that at least some decomposition takes place.


Cyclical deposition processes are based on alternatingly providing vapor phase reactants to a reaction space to interact with a substrate surface contained therein. Gas phase reactions are avoided by feeding the precursors alternately and sequentially into the reaction chamber. Vapor phase reactants may be separated from each other in the reaction chamber, for example, by removing excess reactants and/or reactant by-products from the reaction chamber between reactant pulses. Removal may occur through the use of a purge gas and/or an applied vacuum.


Briefly, a substrate is loaded into a reaction chamber and is heated to a suitable deposition temperature, generally at lowered pressure. Deposition temperatures may be maintained above the temperature at which the germanium precursor does not decompose in the presence of another reactant and below the germanium precursor's thermal decomposition temperature. The temperature should also be at a high enough level to provide the activation energy for the desired surface reactions. Because of the variability in decomposition temperatures of different compounds, the actual reaction temperature in any given embodiment may be selected based on the specifically chosen precursors. In some embodiments the deposition temperature is below about 600° C. In some embodiments, the deposition temperature is below about 500° C. In some embodiments the deposition temperature is below about 450° C. In some embodiments the deposition temperature is preferably below about 400° C. and even, in some cases, below about 375° C.


A first germanium reactant is conducted into the chamber in the form of vapor phase pulse and contacted with the surface of a substrate. In some embodiments the substrate surface comprises a three dimensional structure. In some embodiments, conditions are preferably selected such that more than about one monolayer of the germanium precursor is adsorbed. Excess first reactant and reaction byproducts, if any, may be removed from the substrate and substrate surface and from proximity to the substrate and substrate surface. In some embodiments reactant and reaction byproducts, if any, may be removed by purging. Purging may be accomplished, for example, with a pulse of inert gas such as nitrogen or argon.


Purging the reaction chamber means that vapor phase precursors and/or vapor phase byproducts are removed from the reaction chamber such as by evacuating the chamber with a vacuum pump and/or by replacing the gas inside the reactor with an inert gas such as argon or nitrogen. Typical purging times are from about 0.05 seconds to about 20 seconds, more preferably between about 1 second and about 10 seconds, and still more preferably between about 1 second and about 2 seconds. However, other purge times can be utilized if necessary, such as when depositing layers over extremely high aspect ratio structures or other structures with complex surface morphology. The appropriate purge times can be readily determined by the skilled artisan based on the particular circumstances.


Another method for removing excess reactants—metal precursors or nitrogen reactants, reaction byproducts, etc.—from the substrate surface or from the area of the substrate may involve physically moving the substrate from a location containing the reactant and/or reaction byproducts.


A second gaseous reactant is pulsed into the chamber where it reacts with the first germanium reactant on the surface to form essentially pure germanium. The second reactant is a reducing agent that removes ligands from the first reactant on the substrate surface. In some embodiments the second reactant is a nitrogen reactant. Excess second reactant and gaseous by-products of the surface reaction are removed from the substrate, for example by purging them out of the reaction chamber, preferably with the aid of an inert gas. The steps of pulsing and removing are repeated until a thin film of germanium of the desired thickness has been formed on the substrate, with each cycle typically leaving more than about a molecular monolayer.


As mentioned above, in some embodiments each pulse or phase of each cycle may be self-limiting. An excess of reactant precursors is supplied in each phase to saturate the susceptible structure surfaces. Surface saturation ensures reactant occupation of all available reactive sites (subject, for example, to physical size or “steric hindrance” restraints) and thus ensures excellent step coverage. In some arrangements, the degree of self-limiting behavior can be adjusted by adjusting the reaction temperature to allow for some decomposition of the germanium reactant in combination with the nitrogen reactant.


In some embodiments the second nitrogen reactant can be provided continuously throughout the deposition process. Thus, in some embodiments a nitrogen reactant is flowed continuously throughout the deposition process and a germanium precursor is provided at regular intervals. In some embodiments nitrogen reactant is flowed continuously at a low concentration. The concentration is kept low enough to prevent gas-phase reactions between the germanium precursor and the nitrogen reactant thereby maintaining the surface-controlled nature of the process.


In some embodiments, a reaction space can be in a single-wafer reactor or a batch reactor where deposition on multiple substrates takes place at the same time. In some embodiments the substrate on which deposition is desired, such as a semiconductor workpiece, is loaded into a reactor. The reactor may be part of a cluster tool in which a variety of different processes in the formation of an integrated circuit are carried out. In some embodiments a flow-type reactor is utilized. In some embodiments a high-volume manufacturing-capable single wafer reactor is used. In other embodiments a batch reactor comprising multiple substrates is used. For embodiments in which batch reactors are used, the number of substrates may be in the range of 10 to 200, in the range of 50 to 150, or in the range of 100 to 130.


According to some embodiments, a showerhead reactor may be used.


Examples of suitable reactors that may be used include commercially available equipment such as the F-120® reactor, F-450® reactor, Pulsar® reactors—such as the Pulsar® 2000 and the Pulsar® 3000—EmerALD® reactor and Advance® 400 Series reactors, available from ASM America, Inc of Phoenix, Ariz. and ASM Europe B.V., Almere, Netherlands. Other commercially available reactors include those from ASM Japan K.K (Tokyo, Japan) under the tradename Eagle® XP and XP8. In addition to these reactors, many other kinds of reactors capable of growth of thin films, including CVD reactors equipped with appropriate equipment and means for pulsing the precursors can be employed.


Suitable batch reactors include, but are not limited to, reactors commercially available from and ASM Europe B.V (Almere, Netherlands) under the trade names ALDA400™ and A412™. In some embodiments a vertical batch reactor is utilized in which the boat rotates during processing, such as the A412™. Thus, in some embodiments the wafers rotate during processing. In some embodiments in which a batch reactor is used, wafer-to-wafer uniformity is less than 3% (1sigma), less than 2%, less than 1% or even less than 0.5%.


The cyclical germanium processes described herein can optionally be carried out in a reactor or reaction space connected to a cluster tool. In a cluster tool, because each reaction space is dedicated to one type of process, the temperature of the reaction space in each module can be kept constant, which improves the throughput compared to a reactor in which the substrate is heated up to the process temperature before each run.


Referring to FIG. 1, and according to some embodiments, a germanium thin film is formed by a cyclical deposition process 100 comprising multiple pulsing cycles, each cycle comprising:

    • providing a pulse of a vaporized first Ge precursor into the reaction chamber at step 120 to contact the substrate surface with the Ge precursor;
    • removing excess Ge precursor and reaction by products, if any, at step 130;
    • providing a pulse of a second nitrogen reactant into the reaction chamber at step 140,
    • removing at step 150 excess second reactant and any gaseous by-products formed in the reaction between the Ge precursor layer on the first surface of the substrate and the second reactant, and
    • repeating at step 160 the pulsing and removing steps until a germanium thin film of the desired thickness has been formed.


As mentioned above, in some embodiments the substrate may be pretreated prior to beginning the deposition process 100. In FIG. 1 this is indicated by step 110 in which the substrate is optionally subjected to a pretreatment process.


When the Ge precursor contacts the substrate, the Ge precursor may form at least a monolayer, less than a monolayer, or more than a monolayer.


In some embodiments, a carrier gas is flowed continuously to the reaction space throughout the deposition process. In each deposition cycle the first germanium precursor is pulsed into the reaction chamber. Excess germanium precursor is then removed from the reaction chamber. In some embodiments, the carrier gas comprises nitrogen. In some embodiments a separate purge gas is utilized.


The Ge precursor employed in the cyclical processes may be solid, liquid, or gaseous material under standard conditions (room temperature and atmospheric pressure), provided that the Ge precursor is in vapor phase before it is conducted into the reaction chamber and contacted with the substrate surface.


“Pulsing” a vaporized reactant into the reaction chamber means that the precursor vapor is conducted into the chamber for a limited period of time. Typically, the pulsing time is from about 0.05 seconds to about 10 seconds. However, depending on the particular circumstances, including for example the substrate type and its surface area, the pulsing time may be even higher than about 10 seconds.


In some embodiments, for example for a 300 mm wafer in a single wafer reactor, the Ge precursor is pulsed for from about 0.05 seconds to about 10 seconds, for from about 0.1 seconds to about 5 seconds or from about 0.3 seconds to about 3.0 seconds.


The nitrogen reactant may be pulsed for from about 0.05 seconds to about 10 seconds, from about 0.1 seconds to about 5 seconds, or for from about 0.2 seconds to about 3.0 seconds. However, pulsing times for one or both reactants can be on the order of minutes in some cases. The optimum pulsing time for each reactant can be determined by the skilled artisan based on the particular circumstances.


As mentioned above, in some embodiments the Ge precursor is a germanium alkoxide, for example Ge(OEt)4 or Ge(OMe)4. In some embodiments, the Ge precursor is TDMAGe. In some embodiments, the Ge precursor includes alkyl and/or alkylamine groups. In some embodiments the Ge-precursor is not a halide. In some embodiments the Ge-precursor may comprise a halogen in at least one ligand, but not in all ligands. The germanium precursor may be provided with the aid of an inert carrier gas, such as argon.


In some embodiments the nitrogen reactant comprises a nitrogen-hydrogen bond. In some embodiments the nitrogen reactant is ammonia (NH3). In some embodiments, the nitrogen reactant is molecular nitrogen. In some embodiments the nitrogen reactant is a nitrogen containing plasma. In some embodiments, the nitrogen source comprises an activated or excited nitrogen species. The nitrogen reactant may be a provided in a nitrogen-containing gas pulse that can be a mixture of nitrogen reactant and inactive gas, such as argon.


In some embodiments, a nitrogen-containing plasma is formed in the reactor. In some embodiments, the plasma may be formed in situ on top of the substrate or in close proximity to the substrate. In other embodiments, the plasma is formed upstream of the reaction chamber in a remote plasma generator and plasma products are directed to the reaction chamber to contact the substrate. As will be appreciated by the skilled artisan, in the case of remote plasma, the pathway to the substrate can be optimized to maximize electrically neutral species and minimize ion survival before reaching the substrate.


Irrespective of the nitrogen reactant used, in some embodiments of the present disclosure, the use of a nitrogen reactant does not contribute significant amounts of nitrogen to the deposited film. According to some embodiments, the resulting germanium film contains less than about 5-at %, less than about 2-at % or even less than about 1-at % nitrogen. In some embodiments, the nitrogen content of the germanium film is less than about 0.5-at % or even less than about 0.2-at %.


In some embodiments hydrogen reactants are not used in the deposition process. In some embodiments, elemental hydrogen (H2) is not provided in at least one deposition cycle, or in the entire deposition process. In some embodiments, hydrogen plasma is not provided in at least one deposition cycle or in the entire deposition process. In some embodiments, hydrogen atoms or radicals are not provided in at least one deposition cycle, or in the entire deposition process.


In some embodiments the Ge precursor comprises at least one amine or alkylamine ligand, such as those presented in formulas (2) through (6) and (8) and (9), and the nitrogen reactant comprises NH3.


Before starting the deposition of the film, the substrate is typically heated to a suitable growth temperature, as discussed above. The preferred deposition temperature may vary depending on a number of factors such as, and without limitation, the reactant precursors, the pressure, flow rate, the arrangement of the reactor, and the composition of the substrate including the nature of the material to be deposited on. In some embodiments the deposition temperature is selected to be between the temperature where the germanium precursor does not decompose without the second nitrogen precursor, at the lower end, and the temperature where the precursor does decompose by itself, at the upper end. As discussed elsewhere, in some embodiments the temperature may be less than about 600° C., less than about 450° C., less than about 400° C., and in some cases, less than about 375° C. In some embodiments using Ge(OCH2CH3)4 and NH3 as the germanium and nitrogen reactants, the temperature is about 350° C.


The processing time depends on the thickness of the layer to be produced and the growth rate of the film. In ALD, the growth rate of a thin film is determined as thickness increase per one cycle. One cycle consists of the pulsing and removing steps of the precursors and the duration of one cycle is typically between about 0.2 seconds and about 30 seconds, more preferably between about 1 second and about 10 seconds, but it can be on order of minutes or more in some cases, for example, where large surface areas and volumes are present.


In some embodiments the growth rate of the germanium thin films may be greater than or equal to about 2 Å/cycle, greater than or equal to about 5 Å/cycle, greater than or equal to about 10 Å/cycle, and, in some embodiments, even greater than about 15 Å/cycle.


In some embodiments the germanium film formed is a relatively pure germanium film. Preferably, aside from minor impurities no other metal or semi-metal elements are present in the film. In some embodiments the film comprises less than 1-at % of metal or semi-metal other than Ge. In some embodiments, the germanium film comprises less than about 5-at % of any impurity other than hydrogen, preferably less than about 3-at % of any impurity other than hydrogen, and more preferably less than about 1-at % of any impurity other than hydrogen. In some embodiments a germanium film comprises less than about 5 at-% nitrogen, less than about 3 at-% nitrogen less than about 2 at-% nitrogen or even less than about 1 at-% nitrogen. In some embodiments, a pure germanium film comprises less than about 2-at % oxygen, preferably less than about 1-at % or less than about 0.5-at % and even less than about 0.25-at %.


In some embodiments a germanium precursor comprising oxygen is utilized and the germanium film comprises no oxygen or a small amount of oxygen as an impurity. In some embodiments the germanium film deposited using a germanium precursor comprising oxygen may comprise less than about 2 at-% oxygen, less than about 1 at-%, less than about 0.5 at-% or even less than about 0.25 at-%.


In some embodiments, the germanium film formed has step coverage of more than about 50%, more than about 80%, more than about 90%, or even more than about 95% on structures which have high aspect ratios. In some embodiments high aspect ratio structures have an aspect ratio that is more than about 3:1 when comparing the depth or height to the width of the feature. In some embodiments the structures have an aspect ratio of more than about 5:1, or even an aspect ratio of 10:1 or greater.


Ge Precursors


A number of different Ge precursors can be used in the cyclical processes. In some embodiments the Ge precursor is tetravalent (i.e. Ge has an oxidation state of +IV). In some embodiments, the Ge precursor is not divalent (i.e., Ge has an oxidation state of +II). In some embodiments, the Ge precursor may comprise at least one alkoxide ligand. In some embodiments, the Ge precursor may comprise at least one amine or alkylamine ligand. In some embodiments the Ge precursor is a metal-organic or organometallic compound. In some embodiments the Ge precursor comprises at least one halide ligand. In some embodiments the Ge precursor does not comprise a halide ligand.


In some embodiments the Ge precursor comprises a Ge—O bond. In some embodiments the Ge precursor comprises a Ge—N bond. In some embodiments the Ge precursor comprises a Ge—C bond. In some embodiments the Ge precursor does not comprise Ge—H bond. In some embodiments the Ge precursor comprises equal or less than two Ge—H bonds per one Ge atom.


In some embodiments the Ge precursor is not solid at room temperature (e.g., about 20° C.).


For example, Ge precursors from formulas (1) through (9) below may be used in some embodiments.


(1) GeOR4


Wherein R is can be independently selected from the group consisting of alkyl and substituted alkyl;


(2) GeRxA4-x


Wherein the x is an integer from 1 to 4;


R is an organic ligand and can be independently selected from the group consisting of alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines; and


A can be independently selected from the group consisting of alkyl, substituted alkyl, alkoxides, alkylsilyls, alkyl, alkylamines, halide, and hydrogen.


(3) Ge(OR)xA4-x


Wherein the x is an integer from 1 to 4;


R can be independently selected from the group consisting of alkyl and substituted alkyl; and


A can be independently selected from the group consisting of alkyl, alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines, halide, and hydrogen.


(4) Ge(NRIRII)4


Wherein RI can be independently selected from the group consisting of hydrogen, alkyl and substituted alkyl; and


RII can be independently selected from the group consisting of alkyl and substituted alkyl;


(5) Ge(NRIRII)xA4-x


Wherein the x is an integer from 1 to 4;


RI can be independently selected from the group consisting of hydrogen, alkyl and substituted alkyl; and


RII can be independently selected from the group consisting of alkyl and substituted alkyl;


A can be independently selected from the group consisting of alkyl, alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines, halide, and hydrogen.


(6) Gen(NRIRII)2n+2


Wherein the n is an integer from 1 to 3;


RI can be independently selected from the group consisting of hydrogen, alkyl and substituted alkyl; and


RII can be independently selected from the group consisting of alkyl and substituted alkyl;


(7) Gen(OR)2n+2


Wherein the n is an integer from 1 to 3; and


Wherein R can be independently selected from the group consisting of alkyl and substituted alkyl;


(8) GenR2n+2


Wherein the n is an integer from 1 to 3; and


R is an organic ligand and can be independently selected from the group consisting of alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines.


(9) A3-xRxGe—GeRyA3-y


Wherein the x is an integer from 1 to 3;


y is an integer from 1 to 3;


R is an organic ligand and can be independently selected from the group consisting of alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines; and


A can be independently selected from the group consisting of alkyl, alkoxides, alkylsilyls, alkyl, substituted alkyl, alkylamines, halide, and hydrogen.


Preferred options for R include, but are not limited to, methyl, ethyl, propyl, isopropyl, n-butyl, isobutyl, tertbutyl for all formulas, more preferred in ethyl and methyl. In some embodiments, the preferred options for R include, but are not limited to, C3-C10 alkyls, alkenyls, and alkynyls and substituted versions of those, more preferably C3-C6 alkyls, alkenyls, and alkenyls and substituted versions of those.


In some embodiments the Ge precursor comprises one or more halides. For example, the precursor may comprise 1, 2, or 3 halide ligands. However, as mentioned above, in some embodiments the Ge precursor does not comprise a halide.


In some embodiments a germane (GeHx) is not used.


In some embodiments alkoxide Ge precursors may be used, including, but are not limited to, one or more of Ge(OMe)4, Ge(OEt)4, Ge(OiPr)4, Ge(OnPr)4 and Ge(OtBu)4. In some embodiments the Ge precursor is TDMAGe. In some embodiments the Ge precursor is TDEAGe. In some embodiments the Ge precursor is TEMAGe.


EXAMPLES

The ability to deposit germanium films by decomposing germanium precursors at relatively low temperatures was tested. At temperatures of about 375° C. and about 400° C., no germanium films were seen when only alternating Ge precursor pulses and carrier gas purges without second reactant. In these decomposition tests, Ge(OEt)4 was used as the germanium precursor and was kept at room temperature. The Ge(OEt)4 was cyclically pulsed through the reaction space for 3 seconds followed by a 6 second purge.


However, by utilizing a cyclical deposition process in which the substrate was alternately contacted with a germanium precursor and a nitrogen reactant, deposition was observed in the temperature range of about 350° C. to about 400° C.


In one set of experiments, germanium films were deposited in an F-450® reactor at 350° C. by repeating a deposition cycle comprising alternate and sequential pulses of Ge(OEt)4 and NH3. The Ge(OEt)4 was kept at room temperature. The NH3 was flowed through the reaction space at 100 sccm. Each cycle comprised a 3 seconds germanium precursor pulse, a 6 second purge, and a 3 second nitrogen reactant pulse followed by a 10 second purge. A thick metal-like film of greater than 80 nm was formed with 2000 cycles.


Germanium films were also deposited in an Pulsar® 2000 reactor at temperatures ranging from about 365° C. to about 400° C. using germanium ethoxide (Ge(OEt)4) as the Ge precursor, and ammonia (NH3) as the nitrogen reactant. Ge(OEt)4 is a liquid with a vapor pressure of about 0.2 Torr at 55° C. The Ge(OEt)4 was kept at room temperature. The NH3 was flowed through the reaction space at 100 sccm. Each cycle comprised a 3 seconds germanium precursor pulse, a 5 second purge, and a 3 second nitrogen reactant pulse followed by a 5 second purge. Each film was deposited using a cyclical process of 500 cycles. Composition was determined by Rutherford backscattering spectroscopy (“RBS”). The results are summarized in Table 1 below.









TABLE 1







Germanium films achieved with cyclical process.











Deposition
Thickness
Atomic Concentration (at %)













Sample
T (° C.)
(nm)
Ge
O
C
N





1
365
162
95.5
1.5
1.6
1.4


2
375
375
97.8
0.8
0.9
0.5


3
385
493
98.6
0.5
0.7
0.2


4
400
921
99.2
0.2
0.5
0.1










FIGS. 2A-D show SEM images of the four germanium films summarized in Table 1 above. Accordingly, FIG. 2A is an image of the film deposited at a temperature of about 365° C.; FIG. 2B is an image of the film deposited at a temperature of about 375° C.; FIG. 2C is an image of the film deposited at a temperature of about 385° C.; and FIG. 2D is an image of the film deposited at a temperature of about 400° C.



FIGS. 3A and 3B show SEM images of two of the germanium films summarized in Table 1. The image in FIG. 3A is of the film deposited at a reaction temperature of about 375° C., and the image in FIG. 3B is of the film deposited at a reaction temperature of about 385° C. Consistent with the data of Table 1, FIGS. 3A and 3B demonstrate that there is a temperature dependence for the growth rate, because the film deposited at about 385° C. is thicker than the film deposited at about 375° C. even though each film was formed using a cyclical process of 500 cycles.


The deposited germanium films exhibited a relatively high sheet resistance of about 4000-9000 Ω/sq.


Although certain embodiments and examples have been discussed, it will be understood by those skilled in the art that the scope of the claims extend beyond the specifically disclosed embodiments to other alternative embodiments and/or uses and obvious modifications and equivalents thereof.

Claims
  • 1. A cyclical deposition process for forming an elemental germanium thin film on a substrate in a reaction chamber the process comprising one or more deposition cycles comprising alternately and sequentially contacting the substrate with a vapor phase germanium precursor and a nitrogen reactant, wherein the deposition cycle is repeated until an elemental germanium thin film of desired thickness has been formed, and wherein the germanium precursor is not a halide.
  • 2. The process of claim 1 further comprising, after contacting the substrate with a vapor phase germanium precursor, exposing the substrate to a purge gas and/or a vacuum to remove excess germanium precursor and reaction byproducts from the substrate surface, if any.
  • 3. The process of claim 1 further comprising, after contacting the substrate with a vapor phase nitrogen reactant, exposing the substrate to a purge gas and/or a vacuum to remove excess nitrogen reactant and reaction byproducts from the substrate surface, if any.
  • 4. The process of claim 1, wherein the germanium reactant at least partially decomposes in each deposition cycle.
  • 5. The process of claim 4, wherein the process is performed at a temperature below the temperature at which the germanium precursor decomposes without the presence of another precursor.
  • 6. The process of claim 1, wherein the germanium thin film comprises less than about 5 at-% impurities.
  • 7. The process of claim 1, wherein the nitrogen reactant comprises ammonia, elemental nitrogen, nitrogen plasma, or nitrogen radicals.
  • 8. The process of claim 1, wherein the Ge-precursor is a germanium alkoxide or alkylamine.
  • 9. The process of claim 1, wherein the germanium precursor is not a germane.
  • 10. The process of claim 1, wherein the germanium precursor is Ge(OCH2CH3)4.
  • 11. The process of claim 1, wherein the process is performed at a temperature below about 500° C.
  • 12. The process of claim 1, wherein the germanium thin film contains less than about 3 at-% oxygen.
  • 13. A cyclical deposition process for forming an elemental germanium thin film on a substrate in a reaction chamber, the cyclical process comprising: continuously flowing a nitrogen reactant through the reaction chamber,contacting the substrate with a vapor phase Ge precursor, wherein the Ge precursor is not a halide,removing excess Ge precursor and reaction by products, if any, from the reaction space by ceasing to provide Ge precursor to the reaction chamber, andrepeating the contacting and removing steps until an elemental germanium thin film of the desired thickness has been formed.
  • 14. The process of claim 13, wherein the concentration of the nitrogen reactant is kept low enough to suppress any gas-phase reaction between the nitrogen reactant and the Ge precursor.
  • 15. A cyclical deposition process for forming an elemental germanium thin film on a substrate in a reaction chamber the process comprising one or more deposition cycles comprising: contacting the substrate with a first vapor phase germanium precursor,exposing the substrate to a purge gas and/or a vacuum to remove excess germanium precursor and reaction by-products from the substrate surface, if any,contacting the substrate with a second vapor phase nitrogen reactant,exposing the substrate to a purge gas and/or a vacuum to remove excess nitrogen reactant and reaction by-products from the substrate surface, if any, andrepeating the contacting and removing steps until an elemental germanium thin film of the desired thickness has been formed,wherein the first vapor phase germanium precursor is not a halide,wherein the second reactant does not comprise H2 or H2-based plasma species, andwherein the germanium thin film comprises elemental germanium with less than 5% impurities.
  • 16. The process of claim 1, wherein the germanium precursor is tetravalent.
  • 17. The process of claim 13, wherein the germanium precursor is an alkoxide.
  • 18. The process of claim 15, wherein the first vapor phase germanium precursor is tetravalent.
  • 19. The process of claim 15, wherein the first vapor phase germanium precursor is a germanium alkoxide.
  • 20. The process of claim 1, wherein the vapor phase germanium precursor is tetravalent.
US Referenced Citations (152)
Number Name Date Kind
4363828 Brodsky et al. Dec 1982 A
5221413 Brasen et al. Jun 1993 A
5221556 Hawkins et al. Jun 1993 A
5256550 Laderman et al. Oct 1993 A
5259918 Akbar et al. Nov 1993 A
5308788 Fitch et al. May 1994 A
5442205 Brasen et al. Aug 1995 A
5445897 Satoh et al. Aug 1995 A
5633516 Mishima et al. May 1997 A
5766999 Sato et al. Jun 1998 A
5847409 Nakayama Dec 1998 A
5879970 Shiota et al. Mar 1999 A
5891769 Liaw et al. Apr 1999 A
6030894 Hada et al. Feb 2000 A
6093252 Wengert et al. Jul 2000 A
6107653 Fitzgerald Aug 2000 A
6154475 Soref et al. Nov 2000 A
6235568 Murthy et al. May 2001 B1
6319782 Nakabayashi Nov 2001 B1
6346732 Mizushima et al. Feb 2002 B1
6350993 Chu et al. Feb 2002 B1
6373112 Murthy et al. Apr 2002 B1
6395621 Mizushima et al. May 2002 B1
6411548 Sakui et al. Jun 2002 B1
6425951 Chu et al. Jul 2002 B1
6429098 Bensahel et al. Aug 2002 B1
6455871 Shim et al. Sep 2002 B1
6461945 Yu Oct 2002 B1
6464780 Mantl et al. Oct 2002 B1
6475865 Yang et al. Nov 2002 B1
6482705 Yu Nov 2002 B1
6525338 Mizushima et al. Feb 2003 B2
6537370 Hernandez et al. Mar 2003 B1
6555839 Fitzgerald Apr 2003 B2
6562736 Yanagawa et al. May 2003 B2
6573126 Cheng et al. Jun 2003 B2
6592942 Van Wijck Jul 2003 B1
6620743 Pagliaro, Jr. et al. Sep 2003 B2
6633066 Bae et al. Oct 2003 B1
6635110 Luan et al. Oct 2003 B1
6645836 Kanzawa et al. Nov 2003 B2
6649980 Noguchi Nov 2003 B2
6713326 Cheng et al. Mar 2004 B2
6723622 Murthy et al. Apr 2004 B2
6749687 Ferro et al. Jun 2004 B1
6770134 Maydan et al. Aug 2004 B2
6812495 Wada et al. Nov 2004 B2
6821825 Todd Nov 2004 B2
6830964 Mears et al. Dec 2004 B1
6833294 Mears et al. Dec 2004 B1
6844213 Sparks Jan 2005 B2
6855649 Christiansen et al. Feb 2005 B2
6858502 Chu et al. Feb 2005 B2
6864520 Fischetti et al. Mar 2005 B2
6875279 Chu et al. Apr 2005 B2
6887773 Gunn, III May 2005 B2
6900115 Todd May 2005 B2
6905972 Oda Jun 2005 B2
6953736 Ghyselen et al. Oct 2005 B2
6958253 Todd Oct 2005 B2
6960537 Shero et al. Nov 2005 B2
6995076 Wang et al. Feb 2006 B2
7022593 Arena et al. Apr 2006 B2
7026219 Pomarede et al. Apr 2006 B2
7037856 Maa et al. May 2006 B1
7049627 Vineis et al. May 2006 B2
7108748 Brabant et al. Sep 2006 B2
7115521 Brabant et al. Oct 2006 B2
7132338 Samoilov et al. Nov 2006 B2
7232487 Silver et al. Jun 2007 B2
7238595 Brabant et al. Jul 2007 B2
7312165 Jursich et al. Dec 2007 B2
7329593 Bauer et al. Feb 2008 B2
7357838 Lin et al. Apr 2008 B2
7387953 Figuet Jun 2008 B2
7390725 Maa et al. Jun 2008 B2
7402504 Brabant et al. Jul 2008 B2
7452757 Werkhoven et al. Nov 2008 B2
7479443 Bauer Jan 2009 B2
7608526 Cody et al. Oct 2009 B2
7682947 Brabant et al. Mar 2010 B2
7772127 Figuet et al. Aug 2010 B2
7785995 Cody et al. Aug 2010 B2
7825401 Cody et al. Nov 2010 B2
7901968 Weeks et al. Mar 2011 B2
20020034864 Mizushima et al. Mar 2002 A1
20020173104 Chang Nov 2002 A1
20020173130 Pomerede et al. Nov 2002 A1
20030036268 Brabant et al. Feb 2003 A1
20030045063 Oda Mar 2003 A1
20030080394 Babcock et al. May 2003 A1
20030082300 Todd et al. May 2003 A1
20030124818 Luo et al. Jul 2003 A1
20030139000 Bedell et al. Jul 2003 A1
20030143783 Maa et al. Jul 2003 A1
20030153161 Chu et al. Aug 2003 A1
20030157787 Murthy et al. Aug 2003 A1
20030162348 Yeo et al. Aug 2003 A1
20030190791 Fischetti et al. Oct 2003 A1
20030207127 Murthy et al. Nov 2003 A1
20030230233 Fitzgerald et al. Dec 2003 A1
20030235931 Wada et al. Dec 2003 A1
20040075105 Leitz et al. Apr 2004 A1
20040087117 Leitz et al. May 2004 A1
20040097022 Werkhoven et al. May 2004 A1
20040137167 Nguyen Jul 2004 A1
20040151845 Nguyen et al. Aug 2004 A1
20040157409 Ghyselen et al. Aug 2004 A1
20040178406 Chu Sep 2004 A1
20040192002 Soman et al. Sep 2004 A1
20040217845 Silver et al. Nov 2004 A1
20040219735 Brabant et al. Nov 2004 A1
20050051795 Arena et al. Mar 2005 A1
20050054175 Bauer Mar 2005 A1
20050067377 Lei et al. Mar 2005 A1
20050079692 Samoilov et al. Apr 2005 A1
20050081787 Im et al. Apr 2005 A1
20050150447 Ghyselen et al. Jul 2005 A1
20050170577 Yao et al. Aug 2005 A1
20050191826 Bauer et al. Sep 2005 A1
20050277260 Cohen et al. Dec 2005 A1
20060057825 Bude et al. Mar 2006 A1
20060138393 Seo et al. Jun 2006 A1
20060145188 Dantz et al. Jul 2006 A1
20060199357 Wan et al. Sep 2006 A1
20060211230 Figuet Sep 2006 A1
20060216417 Todd et al. Sep 2006 A1
20070044706 Kang et al. Mar 2007 A1
20070048956 Dip et al. Mar 2007 A1
20070051975 Figuet et al. Mar 2007 A1
20070117335 Sandhu et al. May 2007 A1
20070117398 Okada et al. May 2007 A1
20070134886 Quevedo-Lopez et al. Jun 2007 A1
20070155138 Tomasini et al. Jul 2007 A1
20070264801 Cody et al. Nov 2007 A1
20080017952 Cody et al. Jan 2008 A1
20090072271 Gomez et al. Mar 2009 A1
20090203197 Hanawa et al. Aug 2009 A1
20090305458 Hunks et al. Dec 2009 A1
20100006024 Brabant et al. Jan 2010 A1
20110117732 Bauer et al. May 2011 A1
20110262660 Ishii et al. Oct 2011 A1
20120009802 LaVoie et al. Jan 2012 A1
20120028410 Marsh Feb 2012 A1
20120028454 Swaminathan et al. Feb 2012 A1
20120231611 Gatineau et al. Sep 2012 A1
20120244688 Bauer et al. Sep 2012 A1
20120247386 Sanchez et al. Oct 2012 A1
20130210217 Xiao et al. Aug 2013 A1
20130233240 Cody et al. Sep 2013 A1
20140065841 Matero Mar 2014 A1
20140120738 Jung et al. May 2014 A1
Foreign Referenced Citations (19)
Number Date Country
0858101 Aug 1998 EP
1 681 711 Jul 2006 EP
04-245419 Sep 1992 JP
H07-037823 Feb 1995 JP
10-256169 Sep 1998 JP
2000-021783 Jan 2000 JP
2000-286413 Oct 2000 JP
2002-525255 Aug 2002 JP
2002-539613 Nov 2002 JP
2003-023146 Jan 2003 JP
2004-179452 Jun 2004 JP
2005-518093 May 2005 JP
2005-536876 Dec 2005 JP
2006-191112 Jul 2006 JP
WO 0015885 Mar 2000 WO
WO 0054338 Sep 2000 WO
WO 0141544 Jun 2001 WO
WO 02097864 Dec 2002 WO
WO 03069658 Aug 2003 WO
Non-Patent Literature Citations (92)
Entry
“Physics of Thin Films”, printed from http://www.uccs.edu/˜tchriste/courses/PHYS549/549lectures/film2.html (Feb. 22, 2000).
Bauer et al., “Crystalline to Amorphous Phase Transition in Very Low Temperature Molecular Beam Epitaxy”, Materials Science and Engineering B89:263-268 (2002).
Bauer et al., “High Ge content photodetectors on thin SiGe buffers”, Materials Science and Engineering B89:77-83 (2002).
Bauer et al., “Relaxed SiGe buffers with thicknesses below 0.1 μm”, Thin Solid Films 369:152-156 (2000).
Bensahel et al., “Single-wafer processing of in-situ doped polycrystalline Si and Si1-xGex”, Solid State Technology, pp. S5-S10 (Mar. 1998).
Bolkhovityanov et al., “Artificial GeSi Substrates for Heteroepitaxy: Achievements and Problems,” Semiconductors 37(5): 493-518 (2003).
Cannon, D. et al., “Tensile strained epitaxial Ge films on Si(100) substrates with potential application in L-band telecommunications,” Applied Physics Letters, vol. 84, No. 6, Feb. 9, 2004, pp. 906-908.
Christiansen et al., “Strain Relaxation Mechanisms in He+-Implanted and Annealed Si1—xGex Layers on Si(001) Substrates”, Material Research Society Symposium Proceedings 686:A1.6.1-A1.6.6 (2002).
Chui et al., “Ultrathin high-k gate dielectric technology for germanium MOS applications”, IEEE 60th Annual Device Research Conference (DRC) Digest, paper VII.B2, pp. 191-192 (2002).
Colace et al., “Ge/Si(001) photodetector for near infrared light”, Solid State Phenomena 54:55-58 (1997).
Colace et al., “Metal-Ge-Si diodes for near-infrared light detection”, Journal of Vacuum Science and Technology B 17:465 (1999).
Colace et al., “Metal—semiconductor—metal near-infrared light detector based on epitaxial Ge/Si”, Applied Physics Letters 72:3175-3177 (1998).
Colace, L. et al., “Efficient high-speed near-infrared Ge photodetectors integrated on Si substrates,” Applied Physics Letters, vol. 76, No. 10, Mar. 6, 2000, pp. 1231-1233.
Colace, L. et al., “Ge-on-Si Approaches to the Detection of Near-Infrared Light,” IEEE Journal of Quantum Electronics, vol. 35, No. 12, Dec. 1999, pp. 1843-1852.
Currie et al., “Controlling threading dislocation densities in Ge on Si using graded SiGe layers and chemical-mechanical polishing”, Applied Physics Letters 72:1718-1720 (1998).
Delhougne et al., “Development of a New Type of SiGe Thin Strain Relaxed Buffer Based on the Incorporation of a Carbon-Containing Layer”, Applied Surface Science 224:91-94 (2004).
Fama, S. et al., “High performance germanium-on-silicon detectors for optical communications,” Applied Physics Letters, vol. 81, No. 4, Jul. 22, 2002, pp. 586-588.
File History printed May 23, 2012 for U.S. Appl. No. 10/800,390, filed Mar. 12, 2004, entitled “Epitaxial Semiconductor Deposition Methods and Structures”.
File History printed May 23, 2012 for U.S. Appl. No. 10/993,024, filed Nov. 18, 2004, entitled “Epitaxial Semiconductor Deposition Methods and Structures”.
File History printed May 23, 2012 for U.S. Appl. No. 11/506,320, filed Aug. 18, 2006, entitled “Epitaxial Semiconductor Deposition Methods and Structures”.
File History printed May 23, 2012 for U.S. Appl. No. 11/755,528, filed May 30, 2007, entitled “Epitaxial Semiconductor Deposition Methods and Structures”.
File History printed May 23, 2012 for U.S. Appl. No. 12/556,377, filed Sep. 9, 2009, entitled “Epitaxial Semiconductor Deposition Methods and Structures”.
File History printed May 23, 2012 for U.S. Appl. No. 11/067,307, filed Feb. 25, 2005, entitled “Germanium Deposition”.
File History printed May 23, 2012 for U.S. Appl. No. 11/867,318, filed Oct. 4, 2007, entitled “Germanium Deposition”.
File History printed May 23, 2012 for U.S. Appl. No. 11/388,313, filed Mar. 23, 2006, entitled “Heteroepitaxial Deposition Over an Oxidized Surface”.
File History printed May 23, 2012 for U.S. Appl. No. 11/431,336, filed May 9, 2006, entitled “Semiconductor Buffer Structures”.
File History printed May 23, 2012 for U.S. Appl. No. 11/491,616, filed Jul. 24, 2006, entitled “Strained Layers Within Semiconductor Buffer Structures”.
File History printed May 23, 2012 for U.S. Appl. No. 12/562,029, filed Sep. 17, 2009, entitled “Strained Layers Within Semiconductor Buffer Structures”.
Fischetti et al., “Band structure, deformation potentials, and carrier mobility in strained Si, Ge, and SiGe alloys”, Journal of Applied Physics 80:2234-2252 (1996).
Fitzgerald et al., “Totally Relaxed GexSi1-x Layers with Low Threading Dislocation Densities Grown on Si Substrates,” Appl. Phys. Lett. 59(7): 811-813 (1991).
Giovane et al., “Correlation between leakage current density and threading dislocation density in SiGe p-i-n diodes grown on relaxed graded buffer layers”, Applied Physics Letters 78:541-543 (2001).
Hackbarth et al., “Alternatives to Thick MBE-Grown Relaxed SiGe Buffers”, Thin Solid Films 369:148-151 (2000).
Hartmann et al., “Reduced pressure—chemical vapor deposition of Ge thick layers on Si(001) for 1.3-1.55-μm photodetection”, Journal of Applied Physics 95:5905-5913 (2004).
Haynes et al., “Composition Dependence of Solid-Phase Epitaxy in Silicon-Germanium Alloys: Experiment and Theory”, Physical Review B 51:7762-7771 (1995).
Herzog et al., “Si/SiGe n-MODFETs on Thin SiGe Virtual Substrates Prepared by Means of He Implantation”, IEEE Electron Device Letters, 23:485-487 (2002).
Huang et al., “Electron and Hole Mobility Enhancement in Strained SOI by Wafer Bonding”, IEEE Transactions on Electron Devices 49:1566-1571 (2002).
Huang et al., “SiGe-on-Insulator Prepared by Wafer Bonding and Layer Transfer for High-Performance Field-Effect Transistors”, Applied Physics Letters 78:1267-1269 (2001).
Hull, R., “Metastable strained layer configurations in the SiGe/Si system,” (1999) EMIS Datareviews, Series No. 24: Properties of SiGe and SiGe:C, edited by Erich Kasper et al., INSPEC (2000), London, UK.
International Preliminary Report on Patentability for International Application No. PCT/US2007/072252, dated Nov. 3, 2008.
International Preliminary Report on Patentability for PCT/US2007/008879 mailed Nov. 20, 2008.
International Search Report and Written Opinion for PCT Application No. PCT/US2007/072252 dated Dec. 19, 2007.
International Search Report for International Application No. PCT/US04/07564 dated Apr. 6, 2005.
International Search Report for PCT/US05/06150 mailed Jun. 19, 2008.
Isella et al., “Low-energy plasma-enhanced chemical vapor deposition for strained Si and Ge heterostructures and devices” Solid State Electronics, Elsevier Science Publishers, Barking, GB, vol. 48, No. 8, Aug. 2004, pp. 1317-1323.
Ishikawa et al., “Strain-induced band gap shrinkage in Ge grown on Si substrate,” Applied Physics Letters, vol. 82, No. 12, Mar. 31, 2003, pp. 2044-2046.
Jackson et al., “Gate-Self-Aligned p-Channel Germanium MISFET's”, IEEE Electron Device Letters 12:605-607 (1991).
Kamins et al., “Deposition of Three-Dimensional Germanium Islands on Si(001) by Chemical Vapor Deposition at Atmospheric and Reduced Pressures”, J. Appl. Phys. 81:211-219 (1997).
Kasper et al., “New virtual substrate concept for vertical MOS transistors”, Thin Solid Films 336:319-322 (1998).
Kasper, “Prospects of SiGe Heterodevices”, Journal of Crystal Growth 150:921-925 (1995).
Kutsukake et al., “Fabrication of SiGe-On-Insulator through Thermal Diffusion on Germanium on Si-on-Insulator Substrate”, Jpn. J. Appl. Phys. 42:L232-L234 (2003).
Langdo et al., “High quality Ge on Si by epitaxial necking”, Applied Physics Letter, vol. 76, No. 25, pp. 3700-3702, Jun. 19, 2000.
Lee et al., “Electron mobility characteristics of n-channel metal-oxide-semiconductor field-effect transistors fabricated on Ge-rich single- and dual-channel SiGe heterostructures”, Journal of Applied Physics 95:1550-1555 (2004).
Lee et al., “Growth of strained Si and strained Ge heterostructures on relaxed Si1-xGex by ultrahigh vacuum chemical vapor deposition,” J. Vac. Sci. Technol. B 22(1) (Jan./Feb. 2004).
Lee et al., “Strained Ge channel p-type metal—oxide—semiconductor field-effect transistors grown on Si1-xGex/Si virtual substrates”, Applied Physics Letters 79:3344-3346 (2001).
Lee et al., “Strained Si/strained Ge dual-channel heterostructures on Relaxed Si0.5Ge0.5 for symmetric mobility p-type and n-type metal-oxide-semiconductor field-effect transistors”, Applied Physics Letters 83:4202-4204 (2003).
Letertre et al., “Germanium-on-insulator (GeOl) structure realized by the Smart Cut™ technology”, MRS Proceedings, vol. 809 (2004).
Levinstein, Michael E., Rumyantsev, Sergey L, and Shur, Michael S., Properties of Advanced Semiconductor Materials GaN, AlN, InN, BN, SiC, SiGe, John Wiley & Sons, Inc., 149-187 (2001).
Li, Q, et al., “Selective growth of Ge on Si(100) through vias of SiO2 nanotemplate using solid source molecular beam epitaxy,” Applied Physics Letters, vol. 83, No. 24, Dec. 15, 2003, pp. 5032-5034.
Liszkay et al., “Strain Relaxation Induced by He-Implantation at the S1-xGex/Si(100) Interface Investigated by Positron Annihilation”, Applied Surface Science 194:136-139 (2002).
Liu, J. et al., “Silicidation —induced band gap shrinkage in Ge epitaxial films on Si,” Applied Physics Letters, vol. 84, No. 5, Feb. 2, 2004, pp. 660-662.
Luan et al., “High-quality Ge epilayers on Si with low threading-dislocation densities”, Applied Physics Letters 75:2909-2911 (1999).
Luysberg et al., “Effect of Helium Ion Implantation and Annealing on the Relaxation Behavior of Pseudomorphic Si1—xGex Buffer Layers on Si(100) Substrates”, Journal of Applied Physics 92:4290-4295 (2002).
Lyutovich et al., “Interaction between point defects and dislocations in SiGe”, Solid State Phenomena 69-70:179-184 (1999).
Lyutovich et al., “Relaxed SiGe buffer layer growth with point defect injection”, Materials Science and Engineering B71:14-19 (2000).
Lyutovich et al., “Thin SiGe buffers with high Ge content for n-MOSFETs”, Materials Science and Engineering B89:341-345 (2002).
Masini, G. et al.; “High-Performance p-i-n Ge on Si Photodetectors for the Near Infrared: From Model to Demonstration,” IEEE Transactions of Electron Devices, vol. 48, No. 6, Jun. 2001, pp. 1092-1096.
Medeiros-Ribeiro et al., “Equilibrium Size Distributions of Clusters During Strained Epitaxial Growth”, Materials Science and Engineering B67:31-38 (1999).
Nakamura et al., “InGaN/GaN/A1GaN-Based Laser Diodes with Modulation-Doped Strained-Layer Superlattices”, Jap. J. Appl. Phys., vol. 36, No. 12A, Part 2, p. L1568-L1571 (1997).
Nam et al., “Lateral epitaxy of low defect density GaN layers via organometallic vapor phase epitaxy”, Phys. Lett, vol. 71, No. 18, p. 2638 (1997).
Ni et al., “X-ray reciprocal space mapping studies of strain relaxation in thin SiGe layers (±100 nm) using a low temperature growth step”, Journal of Crystal Growth 227-228:756-760 (2001).
Obata et al., “Structural Characterization of Si0.7Ge0.3 Layers Grown on Si(001) Substrates by Molecular Beam Epitaxy,” J. AppL Phys. 81(1): 199-204 (1997).
Osten et al., “Relaxed Si1—xGex-Si1—x—yGexCy Buffer Structures with Low Threading Dislocation Density”, Applied Physics Letters 70:2813-2815 (1997).
Pending U.S. Appl. No. 11/267,494 entitled: Semiconductor Heterostructure and Method for Forming a Semiconductor Heterostructure, filed Mar. 11, 2005 by Christophe Figuet and Mark Kennard.
Pending U.S. Appl. No. 11/146,572 entitled: Laminated Layer Structure and Method for Forming the Same, filed Jun. 6, 2005 by Christophe Figuet.
Perego et al, “Fabrication of GeO2 layers using a divalent Ge precursor,” Applied Physics Letters 90, 2007.
Presting et al., “Buffer Concepts of Ultrathin Simgen Superlattices” Thin Solid Films, Elsevier-Sequoia S.A. Lausanne, Ch, vol. 222, No. ½, Dec. 20, 1992, pp. 215-220.
Reinking et al., “Ge p-MOSFETs compatible with Si CMOS-technology”, Proceedings of the 29th ESSDERC 99:300-303 (1999).
Samavedam et al., “High-quality germanium photodiodes integrated on silicon substrates using optimized relaxed graded buffers”, Applied Physics Letters 73:2125-2127 (1998).
Schöllhorn et al., “Coalescence of germanium islands on silicon”, Thin Solid Films 336:109-111 (1998).
Shang et al., “Electrical characterization of germanium p-channel MOSFETs”, IEEE Electron Device Letters 24:242-244 (2003).
Singapore Search Report dated Jun. 16, 2009, received in Singapore Application No. 200809179-5, filed Jun. 27, 2007. 572VSG.
Singapore Written Opinion dated Jun. 16, 2009, received in Singapore Application No. 200809179-5, filed Jun. 27, 2007. 572VSG.
Sugii et al., “SiGe-on-Insulator Substrate Fabricated by Melt Solidification for a Strained-Silicon Complementary Metal-Oxide-Semiconductor”, J. Vac. Sci. Technol. B20(5):1891-1896 (2002).
Sugiyama et al., “Formation of Strained-Silicon Layer on Thin Relaxed-SiGe/SiO2/Si Structure Using SIMOX Technology”, Thin Solid Films 369:199-202 (2000).
Taiwanese Office Action dated Apr. 30, 2010 for Taiwanese Patent Application No. 093106618.
Teichert et al., “Interplay of Dislocation Network and Island Arrangement in SiGe Films Grown on Si(001)”, Thin Solid Films 380:25-28 (2000).
Thomas et al., “Structural characterization of thick, high-quality epitaxial Ge on Si substrates grown by low-energy plasma-enhanced chemical vapor deposition”, Journal of Electronic Materials 32:976-980 (2003).
Trinkaus et al., “Strain Relaxation Mechanism for Hydrogen-Implanted Si1-xGex/Si(100) Heterostructures”, Applied Physics Letters 76:3552-3554 (2000).
Vescan et al., “Relaxation Mechanism of Low Temperature SiGe-Si(100) Buffer Layers”, ICSI3, p. 141 (Mar. 2003).
Wolf, “Silicon Processing for the VLSI Era”, vol. 1: Process Technology, pp. 198 & 519-520 (1986).
Yamamoto et al., “Dislocation Structures and Strain-Relaxation in SiGe Buffer Layers on Si (0 0 1) Substrates with an Ultra-Thin Ge Interlayer,” Appl. Surface Sci. 224: 108-112 (2004).
International Search Report and Written Opinion dated Feb. 6, 2015 in Application No. PCT/US2014/068387.
Related Publications (1)
Number Date Country
20150179443 A1 Jun 2015 US