Deposition and densification process for titanium nitride barrier layers

Information

  • Patent Grant
  • 7521379
  • Patent Number
    7,521,379
  • Date Filed
    Tuesday, October 9, 2007
    16 years ago
  • Date Issued
    Tuesday, April 21, 2009
    15 years ago
Abstract
In one embodiment, a method for forming a titanium nitride barrier material on a substrate is provided which includes depositing a titanium nitride layer on the substrate by a metal-organic chemical vapor deposition (MOCVD) process, and thereafter, densifying the titanium nitride layer by exposing the substrate to a plasma process. In one example, the MOCVD process and the densifying plasma process is repeated to form a barrier stack by depositing a second titanium nitride layer on the first titanium nitride layer. In another example, a third titanium nitride layer is deposited on the second titanium nitride layer. Subsequently, the method provides depositing a conductive material on the substrate and exposing the substrate to a annealing process. In one example, each titanium nitride layer may have a thickness of about 15 Å and the titanium nitride barrier stack may have a copper diffusion potential of less than about 5×1010 atoms/cm2.
Description
BACKGROUND OF THE INVENTION

1. Field of the Invention


Embodiments of the invention generally relate to a fabrication process for depositing a barrier layer on a substrate, and more particularly to a deposition and densification process for forming a titanium nitride barrier material.


2. Description of the Related Art


Reliably producing submicron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large scale integration (ULSI) of semiconductor devices. However, as the fringes of circuit technology are pressed, the shrinking dimensions of interconnects in VLSI and ULSI technology have placed additional demands on the processing capabilities. The multilevel interconnects that lie at the heart of this technology require precise processing of high aspect ratio features, such as vias and other interconnects. Reliable formation of these interconnects is very important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates.


As circuit densities increase, the widths of interconnects, such as vias, trenches, contacts, and other features, as well as the dielectric materials between, decrease to 45 nm and 32 nm dimensions, whereas the thickness of the dielectric layers remain substantially constant, with the result of increasing the aspect ratios of the features. Many traditional deposition processes have difficulty filling submicron structures where the aspect ratio exceeds 4:1. Therefore, there is a great amount of ongoing effort being directed at the formation of substantially void-free and seam-free submicron features having high aspect ratios.


In the manufacture of integrated circuits, a titanium/titanium nitride stack, a titanium nitride layer over a titanium layer, is often used as a liner barrier. The titanium/titanium nitride stack may be used to provide contacts to the source and drain of a transistor. In one example, a titanium layer may be deposited over a silicon substrate. The titanium nitride layer may be deposited over the titanium layer. The titanium nitride layer may be used as a barrier layer to inhibit the diffusion of metals into regions underlying the barrier layer. A conductive metal layer, such as a copper-containing layer or a tungsten-containing layer, is usually deposited over the titanium nitride layer.


The titanium layer or the titanium nitride layer may be formed by a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, and/or a physical vapor deposition (PVD) process. For example, the titanium layer may be formed by reacting titanium tetrachloride with a reducing agent during a CVD process and the titanium nitride layer may be formed by reacting titanium tetrachloride with ammonia during a CVD process. Thereafter, the conductive material may be deposited onto the substrate.


A variety of problems that eventually may lead to device failure is a result from the specific process used to deposit or form the titanium nitride layer. Reliability problems may occur from the use of titanium tetrachloride as a chemical precursor to form a titanium nitride layer during a CVD process. In particular, the titanium nitride layer may have poor adhesion over the titanium layer, resulting in peeling of the titanium nitride layer from the titanium layer. Another problem arises since the titanium tetrachloride precursor produces chlorine which diffuses and contaminates neighboring materials (e.g., dielectric or conductive) on the substrate.


Titanium nitride barrier layers deposited with a traditional CVD process further endure the severe problem of the conductive contact material (e.g., Cu, W, or Al) diffusing through the barrier layer and into neighboring materials, such as dielectric materials. Often, this diffusion problem occurs because the barrier layer is too thin or contains a barrier material not dense enough (e.g., too porous) to prohibit or limit the diffusing metallic atoms. Thicker barrier layers may be used to limit or control diffusion. However, the resistance of a barrier layer increases proportional to the thickness, as does the time and cost for deposition.


Therefore, there is a need for an improved method of depositing and densifying barrier materials, particularly titanium nitride barrier layers.


SUMMARY OF THE INVENTION

In one embodiment, a method for forming a titanium nitride barrier material on a substrate is provided which includes depositing a first titanium nitride layer on the substrate by a thermal metal-organic chemical vapor deposition (MOCVD) process, and thereafter, densifying the first titanium nitride layer by exposing the substrate to a plasma process. In one example, the method provides forming a titanium nitride barrier stack by depositing a second titanium nitride layer on the first titanium nitride layer by the thermal MOCVD process and densifying the second titanium nitride layer by exposing the substrate to the plasma process. In another example, the method provides forming a titanium nitride barrier stack by depositing a third titanium nitride layer on the second titanium nitride layer by the thermal MOCVD process and densifying the third titanium nitride layer by exposing the substrate to the plasma process. Therefore, the titanium nitride barrier material may contain a single densified titanium nitride layer or a titanium nitride barrier stack containing two, three, or more densified titanium nitride layers. Subsequently, the method provides depositing a conductive material on the substrate and exposing the substrate to a thermal annealing process.


In another embodiment, a method for forming a titanium nitride barrier stack on a substrate is provided which includes exposing the substrate sequentially to a titanium nitride deposition gas and to a densifying plasma to form a plurality of densified titanium nitride barrier layers during a deposition-densification cycle. Generally, each of the densified titanium nitride barrier layers may have a thickness of about 20 Å or less. Subsequently, the method provides depositing a conductive material on the substrate and exposing the substrate to a thermal annealing process. The deposition-densification cycle may be repeated to form a titanium nitride barrier stack having the predetermined thickness.


In some examples, the substrate may be exposed to hydrogen and at least nitrogen, argon, helium, neon, or combinations thereof during the plasma process. The plasma process may occur for a time period within a range from about 10 seconds to about 20 seconds while the plasma generator may have a power setting within a range from about 750 watts to about 1,250 watts. For example, the substrate may be exposed to a plasma for about 15 seconds while having a plasma power set at about 1,000 watts during the plasma process.


In one embodiment, the first titanium nitride layer, the second titanium nitride layer, or the third titanium nitride layer may independently have a thickness of about 50 Å or less, preferably, about 25 Å or less, and more preferably, about 15 Å or less. In one example, the titanium nitride layer may have a thickness within a range from about 5 Å to about 20 Å, for example, about 15 Å or less. In another embodiment, the titanium nitride barrier stack may have a copper diffusion potential of less than about 5×1010 atoms/cm2, preferably, less than about 4×1010 atoms/cm2, more preferably, less than about 2.5×1010 atoms/cm2, and more preferably, less than about 1×1010 atoms/cm2. Alternatively, the titanium nitride barrier material may have a copper diffusion potential of less than about 1×107 atoms/cm3.


In other embodiments, the titanium nitride barrier stack may be deposited on a metallic titanium layer disposed on the substrate. In one example, the metallic titanium layer may be deposited on the substrate by a physical vapor deposition (PVD) process. In another example, the metallic titanium layer may be deposited on the substrate by an atomic layer deposition (ALD) process. In another example, the metallic titanium layer may be deposited on the substrate by a CVD process. The conductive material, which is deposited on the substrate after forming the titanium nitride barrier stack, may contain copper, tungsten, aluminum, titanium, tantalum, ruthenium, cobalt, alloys thereof, or combinations thereof. In one example, the conductive material contains tungsten or a tungsten alloy. In another example, the conductive material contains copper or a copper alloy. In another example, the conductive material contains aluminum or an aluminum alloy. Thereafter, the substrate may be exposed to a thermal annealing process. The thermal annealing process may occur for a time period within a range from about 30 minutes to about 90 minutes while the substrate is heated to a temperature within a range from about 350° C. to about 500° C. For example, the substrate may be heated at about 425° C. for about 60 minutes during the thermal annealing process.


In another embodiment, the substrate may be exposed to a deposition gas containing a metal-organic titanium precursor and a nitrogen precursor during the thermal MOCVD process. The titanium precursor may be a metal-organic compound, such as a tetrakis(dialkylamido) titanium compound which includes tetrakis(dimethylamido) titanium (TDMAT), tetrakis(diethylamido) titanium (TDEAT), tetrakis(ethylmethylamido) titanium (TEMAT), or derivatives thereof. Although nitrogen is usually derived during decomposition of the amido ligands from a tetrakis(dialkylamido) titanium compound, in an alternative embodiment, a nitrogen precursor gas may be co-flowed with the titanium precursor. The nitrogen precursor gas may contain ammonia, hydrazine, methylhydrazine, dimethylhydrazine, tertbutylhydrazine, phenylhydrazine, 2,2′-azotertbutane, ethylazide, nitrogen, plasmas thereof, derivatives thereof, or combinations thereof. During the MOCVD process, the substrate may be heated to a temperature within a range from about 250° C. to about 500° C.





BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.



FIG. 1 shows a flow chart of a process for depositing and densifying a titanium nitride material as described in one embodiment herein; and



FIGS. 2A-2G depict a cross-sectional view of a substrate during processes for depositing and densifying titanium nitride layers as described in embodiments herein.





DETAILED DESCRIPTION

One embodiment of the invention provides a method of forming a densified titanium nitride layer on a substrate by depositing a titanium nitride layer on the substrate and densifying the titanium nitride layer by exposing the substrate to a hydrogen-containing plasma. In another embodiment, a method for forming a titanium nitride barrier stack on a substrate is provided which includes exposing the substrate sequentially to a titanium nitride deposition gas and a densifying plasma to form a plurality of densified titanium nitride layers, such that each densified titanium nitride layer is formed during a deposition-densification cycle. Each titanium nitride layer may be deposited and plasma-treated incrementally without an intervening step prior to depositing a conductive material layer on the substrate. Generally, each of the densified titanium nitride layers may have a thickness of about 20 Å or less. In one example, each densified titanium nitride layer may have a thickness within a range from about 5 Å to about 20 Å, for example, about 15 Å or less.


Subsequently, the method provides depositing a conductive material on the substrate and exposing the substrate to a thermal annealing process. The deposition-densification cycle may be repeated to form a titanium nitride barrier stack having a predetermined thickness, such as about 100 Å or less, preferably, within a range from about 10 Å to about 80 Å, more preferably, from about 30 Å to about 50 Å. In one example, the deposition-densification cycle is repeated two times to form a titanium nitride barrier stack having a thickness of about 30 Å. In another example, the deposition-densification cycle is repeated three times to form a titanium nitride barrier stack having a thickness of about 50 Å. In another example, the deposition-densification cycle is repeated four times to form a titanium nitride barrier stack having a thickness of about 60 Å.


In other embodiments, the titanium nitride layer may be deposited by a MOCVD process. The MOCVD process may contain the steps of vaporizing a organic titanium precursor, introducing the vaporized titanium precursor into a CVD chamber, maintaining the deposition chamber at a pressure and the substrate at a temperature suitable for the high pressure CVD of the titanium nitride film onto the substrate, and thermally decomposing the titanium precursor while depositing the titanium nitride material onto the substrate surface. The titanium precursor may be a metal-organic compound that includes tetrakis(dialkylamido) titanium compounds, such as tetrakis(dimethylamido) titanium (TDMAT), tetrakis(diethylamido) titanium (TDEAT), tetrakis(ethylmethylamido) titanium (TEMAT), and derivatives thereof. Generally, tetrakis(dialkylamido) titanium compounds are thermally decomposed and the nitrogen of the amido ligands is incorporated as nitrogen within the titanium nitride material during a thermal CVD process. However, in an alternative embodiment, a nitrogen precursor may be used during a CVD process to deposit the titanium nitride barrier layers. The nitrogen concentration of the titanium nitride barrier layers may be increased by adding a supplemental nitrogen precursor.


The nitrogen precursor for forming or depositing titanium nitride or other nitrogen-containing materials includes ammonia (NH3), nitrogen (N2), hydrazine (N2H4), methyl hydrazine (CH3N2H3), dimethyl hydrazine ((CH3)2N2H2), tertbutylhydrazine (C4H9N2H3), phenylhydrazine (C6H5N2H3), 2,2′-azotertbutane ((CH3)6C2N2), ethylazide (C2H5N3), plasmas thereof, derivatives thereof, or combinations thereof. The deposited titanium nitride layer may have a thickness of about 100 Å or less, preferably, about 50 Å or less, more preferably, about 25 Å or less, and more preferably, about 15 Å or less. In one example, the titanium nitride layer may have a thickness within a range from about 5 Å to about 20 Å, for example, about 15 Å or less.


Generally, the deposition chamber during the deposition process has an internal pressure of less than 760 Torr, preferably, less than about 100 Torr, such as within a range from about 1 Torr to about 10 Torr, for example, about 5 Torr. The substrate may be heated to a temperature within a range from about 250° C. to about 500° C., preferably, from about 320° C. to about 420° C., for example, about 360° C. The substrate may be exposed to a deposition gas containing the titanium precursor and at least one carrier gas, such as nitrogen, helium, argon, hydrogen, or combinations thereof. In one embodiment, the substrate may be exposed to a deposition gas containing a tetrakis(dialkylamido) titanium compound having a flow rate within a range from about 10 sccm to about 150 sccm, preferably, from about 20 sccm to about 100 sccm, and more preferably, from about 40 sccm to about 70 sccm, for example, about 55 sccm. The deposition gas may further contain at least one carrier gas having a flow rate within a range from about 1,000 sccm to about 5,000 sccm, preferably, from about 2,000 sccm to about 4,000 sccm, for example, about 3,000 sccm. In one example, the substrate is exposed to a deposition gas containing TDMAT with a flow rate of about 55 sccm, nitrogen gas with a flow rate of about 2,500 sccm, and helium with a flow rate of about 600 sccm during a MOCVD process. In another example, the substrate is exposed to a deposition gas containing TDEAT with a flow rate of about 60 sccm and nitrogen gas with a flow rate of about 3,000 sccm during a MOCVD process.


The titanium nitride layer may be exposed to the plasma formed by a plasma generator set at a power within a range from about 500 watts to about 1,250 watts, preferably, from about 750 watts to about 1,150 watts, and more preferably, from about 900 watts to about 1,100 watts, for example, about 1,000 watts. In one example, a 300 mm diameter substrate may be exposed to the plasma with the plasma generator has a power setting within a range from about 750 watts to about 1,250 watts, preferably, from about 850 watts to about 1,150 watts, and more preferably, from about 900 watts to about 1,100 watts, for example, about 1,000 watts. In another example, a 200 mm diameter substrate may be exposed to the plasma with the plasma generator has a power setting within a range from about 500 watts to about 1,000 watts, preferably, from about 600 watts to about 800 watts, and more preferably, from about 650 watts to about 750 watts, for example, about 700 watts. The substrate may be exposed to a plasma during the plasma process for a time period within a range from about 5 seconds to about 30 seconds, preferably, from about 10 seconds to about 20 seconds, and more preferably, from about 12 seconds to about 18 seconds, for example, about 15 seconds.


Generally, the substrate may be exposed to hydrogen and at least nitrogen, argon, helium, neon, or combinations thereof during the plasma process. In one embodiment, the substrate may be exposed to a plasma gas containing hydrogen gas having a flow rate within a range from about 500 sccm to about 3,000 sccm, preferably, from about 1,000 sccm to about 2,500 sccm, and more preferably, from about 1,500 sccm to about 2,000 sccm, for example, about 1,800 sccm. The plasma gas may further contain at least one carrier gas having a flow rate within a range from about 500 sccm to about 2,500 sccm, preferably, from about 800 sccm to about 2,000 sccm, more preferably, from about 1,000 sccm to about 1,500 sccm, for example, about 1,200 sccm. In one example, the substrate is exposed to a plasma gas containing hydrogen gas with a flow rate of about 1,800 sccm and nitrogen gas with a flow rate of about 1,200 sccm during a densifying plasma process. Therefore, the plasma process may occur for a time period within a range from about 10 seconds to about 20 seconds and the plasma generator has a power setting within a range from about 750 watts to about 1,250 watts. For example, the plasma process may last about 15 seconds with the plasma generator having a plasma power of about 1,000 watts.


Embodiments provide that the densified titanium nitride layer is denser than the deposited titanium nitride layer, such as at least about 10% denser, preferably, at least about 20% denser, and more preferably, at least about 25% denser than the initially deposited titanium nitride layer. Also, the densified titanium nitride layer is usually more electrically conductive than the deposited titanium nitride layer due to the lower concentrations of carbon and oxygen than the initially deposited titanium nitride layer. For example, the densified titanium nitride layer may have a conductance within a range from about 5% to about 10% higher than the initially deposited titanium nitride layer.



FIG. 1 illustrates a flow chart of process 100 for depositing and densifying a titanium nitride material, such as a titanium nitride barrier layer or a titanium nitride barrier stack as described in embodiments herein. FIGS. 2A-2G depict a schematic cross-sectional view of another embodiment of an exemplary application of a titanium nitride material that may be formed on substrate 200 by utilizing process 100.



FIG. 2A depicts substrate 200 containing doped source/drain region 204 formed over lower layer 202. Lower layer 202 may be a semiconductor substrate, such as a silicon substrate or wafer, or other deposited semiconductor material. Dielectric layer 206, such as a silicon-containing layer, a silicon dioxide layer or a low-k dielectric layer, may be formed over lower layer 202. In one example, a low-k dielectric layer is an oxidized organosilane layer or an oxidized organosiloxane layer described in more detail in commonly assigned U.S. Pat. No. 6,348,725, which is incorporated by reference herein. Dielectric layer 206 may be patterned and etched to form aperture 208.


During step 110, adhesion layer 210 may be deposited on substrate 200 to provide strong adhesion between titanium nitride layer 220 that is subsequently deposited over lower layer 202, doped source/drain region 204, and/or dielectric layer 206. Adhesion layer 210 may be a metallic material deposited by vapor deposition processes, such as PVD, ALD, or CVD processes. Adhesion layer 210 may be discontinuous or continuous across the exposed surfaces of substrate 200. Adhesion layer 210 may contain titanium, tantalum, tungsten, ruthenium, cobalt, silicides thereof, alloys thereof, or combinations thereof. In one example, adhesion layer 210 is a metallic titanium layer deposited by a PVD process. In another example, adhesion layer 210 is a metallic titanium layer deposited by an ALD process.


During step 120, titanium nitride layer 220 may be deposited on substrate 200 and over aperture 208, as depicted in FIG. 2A. Titanium nitride layer 220 may completely cover adhesion layer 210 or any other exposed surface of substrate 200, such as lower layer 202, doped source/drain region 204, and/or dielectric layer 206. Titanium nitride layer 220 may be discontinuous, but preferably, is continuous across the exposed surfaces of substrate 200. In one embodiment, titanium nitride layer 220 may be deposited or formed by a CVD process, preferably, a MOCVD process, and more preferably, a thermal MOCVD process. In another embodiment, titanium nitride layer 220 may be deposited or formed by a plasma-enhanced CVD (PE-CVD) process. In an alternative embodiment, titanium nitride layer 220 may be deposited or formed by an ALD process or a PE-ALD process.


In one example of step 120, titanium nitride layer 220 may be deposited by a MOCVD process. The MOCVD process includes vaporizing a organic titanium precursor, introducing the vaporized titanium precursor into a CVD chamber, maintaining the deposition chamber at a pressure and substrate 200 at a temperature suitable for the high pressure CVD of the titanium nitride film onto substrate 200, and thermally decomposing the titanium precursor while depositing titanium nitride layer 220 onto adhesion layer 210 and substrate 200.


In one example, the titanium precursor may be a metal-organic compound, such as tetrakis(dialkylamido) titanium compounds, which include tetrakis(dimethylamido) titanium (TDMAT), tetrakis(diethylamido) titanium (TDEAT), tetrakis(ethylmethylamido) titanium (TEMAT), and derivatives thereof. Titanium nitride layer 220 may have a thickness of about 50 Å or less, preferably, about 25 Å or less, and more preferably, about 15 Å or less. In one example, titanium nitride layer 220 may have a thickness within a range from about 5 Å to about 20 Å, for example, about 15 Å or less.


Generally, the deposition chamber during the deposition process has an internal pressure of less than 760 Torr, preferably, less than about 100 Torr, such as within a range from about 1 Torr to about 10 Torr, for example, about 5 Torr. Substrate 200 may have a temperature within a range from about 250° C. to about 500° C., preferably, from about 320° C. to about 420° C., for example, about 360° C. Substrate 200 may be exposed to a deposition gas containing the titanium precursor and at least one carrier gas, such as nitrogen, helium, argon, hydrogen, or combinations thereof. In one embodiment, substrate 200 may be exposed to a tetrakis(dialkylamido) titanium compound having a flow rate within a range from about 10 sccm to about 150 sccm, preferably, from about 20 sccm to about 100 sccm, and more preferably, from about 40 sccm to about 70 sccm, for example, about 55 sccm. The deposition gas may further contain at least one carrier gas having a flow rate within a range from about 1,000 sccm to about 5,000 sccm, preferably, from about 2,000 sccm to about 4,000 sccm, for example, about 3,000 sccm. In one example, substrate 200 is exposed to a deposition gas containing TDMAT with a flow rate of about 55 sccm, nitrogen gas with a flow rate of about 2,500 sccm, and helium with a flow rate of about 600 sccm during a MOCVD process while forming titanium nitride layer 220.


During step 130, substrate 200 may be exposed to a densifying plasma treatment process while forming densified titanium nitride layer 222 from titanium nitride layer 220, as depicted in FIG. 2B. Titanium nitride layer 220 may be exposed to the plasma having a plasma power within a range from about 750 watts to about 1,250 watts, preferably, from about 850 watts to about 1,150 watts, and more preferably, from about 900 watts to about 1,100 watts, for example, about 1,000 watts. The substrate may be exposed to a plasma during the plasma process for a time period within a range from about 5 seconds to about 30 seconds, preferably, from about 10 seconds to about 20 seconds, and more preferably, from about 12 seconds to about 18 seconds, for example, about 15 seconds. Densified titanium nitride layer 222 may be at least about 10% denser than titanium nitride layer 220, preferably, at least about 20% denser than titanium nitride layer 220.


Generally, substrate 200 may be exposed to a plasma gas containing hydrogen and at least nitrogen, argon, helium, neon, or combinations thereof during the plasma process at step 130. In one embodiment, substrate 200 may be exposed to the plasma gas containing hydrogen gas having a flow rate within a range from about 500 sccm to about 3,000 sccm, preferably, from about 1,000 sccm to about 2,500 sccm, and more preferably, from about 1,500 sccm to about 2,000 sccm, for example, about 1,800 sccm. The plasma gas may further contain at least one carrier gas having a flow rate within a range from about 500 sccm to about 2,500 sccm, preferably, from about 800 sccm to about 2,000 sccm, more preferably, from about 1,000 sccm to about 1,500 sccm, for example, about 1,200 sccm. In one example, substrate 200 is exposed to a plasma gas containing hydrogen gas with a flow rate of about 1,800 sccm and nitrogen gas with a flow rate of about 1,200 sccm during a densifying plasma process to form densified titanium nitride layer 222. The plasma process may last about 15 seconds with a plasma power of about 1,000 watts.


A predetermined thickness of titanium nitride is determined at step 140. If densified titanium nitride layer 222 has a desirable, predetermined thickness, then process 100 continues to step 150. Conductive contact layer 280 may be deposited on or over densified titanium nitride layer 222 or substrate 200 while filling in aperture 208 with a conductive metallic material during step 150 (not shown). However, if densified titanium nitride layer 222 does not have the desirable, predetermined thickness, then steps 120 and 130 may be repeated in process 100.


In another embodiment, step 120 may be repeated to deposit titanium nitride layer 230 on densified titanium nitride layer 222 and over aperture 208, as depicted in FIG. 2C. Titanium nitride layer 230 may have a thickness of about 50 Å or less, preferably, about 25 Å or less, and more preferably, about 15 Å or less. In one example, titanium nitride layer 230 may have a thickness within a range from about 5 Å to about 20 Å, for example, about 15 Å or less. In one example, substrate 200 is exposed to a deposition gas containing TDMAT with a flow rate of about 55 sccm, nitrogen gas with a flow rate of about 2,500 sccm, and helium with a flow rate of about 600 sccm during a MOCVD process while forming titanium nitride layer 230.


In another embodiment, step 130 is repeated by exposing substrate 200 to a densifying plasma treatment process while forming densified titanium nitride layer 232 from titanium nitride layer 230, as depicted in FIG. 2D. Titanium nitride layer 230 may be exposed to the plasma having a plasma power within a range from about 750 watts to about 1,250 watts, preferably, from about 850 watts to about 1,150 watts, and more preferably, from about 900 watts to about 1,100 watts, for example, about 1,000 watts. The plasma process may occur for a time period within a range from about 5 seconds to about 30 seconds, preferably, from about 10 seconds to about 20 seconds, and more preferably, from about 12 seconds to about 18 seconds, for example, about 15 seconds. In one example, substrate 200 is exposed to a plasma gas containing hydrogen gas with a flow rate of about 1,800 sccm and nitrogen gas with a flow rate of about 1,200 sccm during a densifying plasma process to form densified titanium nitride layer 232. The plasma process may last about 15 seconds with a plasma power of about 1,000 watts.


A predetermined thickness of titanium nitride is determined at step 140. If densified titanium nitride layer 232 has the desirable, predetermined thickness, then process 100 continues to step 150. Subsequently, conductive contact layer 280 may be deposited on or over densified titanium nitride layer 222 or substrate 200 while filling in aperture 208 with a conductive metallic material during step 150 (not shown). However, if densified titanium nitride layer 232 does not have a desirable, predetermined thickness, then the cycle is repeated by conducting steps 120 and 130.


In another embodiment, step 120 may be repeated to deposit titanium nitride layer 240 on densified titanium nitride layer 232 and over aperture 208, as depicted in FIG. 2E. Titanium nitride layer 240 may have a thickness of about 50 Å or less, preferably, about 25 Å or less, and more preferably, about 15 Å or less. In one example, titanium nitride layer 240 may have a thickness within a range from about 5 Å to about 20 Å, for example, about 15 Å or less. In one example, substrate 200 is exposed to a deposition gas containing TDMAT with a flow rate of about 55 sccm, nitrogen gas with a flow rate of about 2,500 sccm, and helium with a flow rate of about 600 sccm during a MOCVD process while forming titanium nitride layer 240.


In another embodiment, step 130 may be repeated by exposing substrate 200 to a densifying plasma treatment process while forming densified titanium nitride layer 242 from titanium nitride layer 240, as depicted in FIG. 2F. Titanium nitride layer 240 may be exposed to the plasma having a plasma power within a range from about 750 watts to about 1,250 watts, preferably, from about 850 watts to about 1,150 watts, and more preferably, from about 900 watts to about 1,100 watts, for example, about 1,000 watts. The plasma process may occur for a time period within a range from about 5 seconds to about 30 seconds, preferably, from about 10 seconds to about 20 seconds, and more preferably, from about 12 seconds to about 18 seconds, for example, about 15 seconds. In one example, substrate 200 is exposed to a plasma gas containing hydrogen gas with a flow rate of about 1,800 sccm and nitrogen gas with a flow rate of about 1,200 sccm during a densifying plasma process to form densified titanium nitride layer 242. The plasma process may last about 15 seconds with a plasma power of about 1,000 watts.


A predetermined thickness of titanium nitride is determined at step 140. If densified titanium nitride layer 242 has a desirable, predetermined thickness, then process 100 continues to step 150. However, if densified titanium nitride layer 242 does not have a desirable, predetermined thickness, then steps 120 and 130 may be repeated until the titanium nitride barrier stack has a predetermined thickness.


During step 150, conductive contact layer 280 may be deposited on densified titanium nitride layer 242 and substrate 200 while filling in aperture 208 with a conductive metallic material, as depicted in FIG. 2G. Conductive contact layer 280 may be a seed layer, a nucleation, a bulk layer, a fill layer, or another conductive layer that may be used in contacts. Conductive contact layer 280 may contain a conductive metallic material, such as copper, titanium, tungsten, aluminum, tantalum, ruthenium, cobalt, alloys thereof, or combinations thereof.


Conductive contact layer 280 may be deposited or formed by a PVD process, an ALD process, a CVD process, an electrochemical plating (ECP) process, or an electroless deposition process. In one example, conductive contact layer 280 contains metallic copper or a copper alloy. In another example, conductive contact layer 280 contains metallic tungsten or a tungsten alloy. In another example, the conductive material contains aluminum or an aluminum alloy.


Subsequent to step 150, substrate 200 may be exposed to a thermal annealing process in an annealing chamber or in any of the same chamber used in steps 120, 140, or 150. Substrate 200 may be heated to a temperature within a range from about 250° C. to about 700° C., preferably, from about 350° C. to about 500° C. during the thermal annealing process. The thermal annealing process may occur for a time period within a range from 15 minutes to about 120 minutes, preferably, from about 30 minutes to about 90 minutes, and more preferably, from about 45 minutes to about 75 minutes. In one example, substrate 200 may be heated at about 425° C. for about 60 minutes during a thermal annealing process.


In an alternative embodiment, substrate 200 may be transferred into a rapid thermal processing (RTP) chamber and exposed to an RTP annealing process after step 150. The CENTURA® RADIANCE® RTP chamber, available from Applied Materials, Inc., located in Santa Clara, Calif., may be used during the RTP process. The annealing chamber may be on the same cluster tool as the deposition chambers so that substrate 200 may be annealed without being exposed to the ambient environment. Substrate 200 may be heated to a temperature within a range from about 250° C. to about 700° C., preferably, from about 350° C. to about 500° C., and more preferably, from about 400° C. to about 450° C., for example, about 425° C. during the RTP annealing process. Substrate 200 may be exposed to the RTP process for a time period within a range from about 15 seconds to about 10 minutes, preferably, from about 30 seconds to about 5 minutes, and more preferably, from about 1 minute to about 4 minutes.


In another embodiment, the diffusion potential of the titanium nitride barrier stack (e.g., copper diffusion potential) may be calculated to quantitatively determine the effectiveness of the barrier layers. The diffusion potential may be used to determine a desired thickness of each densified titanium nitride layer formed during steps 120 and 130 and to determine how many densified titanium nitride layers should be deposited at step 140. In one example, the titanium nitride barrier material may have a copper diffusion potential of less than about 5×1010 atoms/cm2, preferably, less than about 4×1010 atoms/cm2, more preferably, less than about 2.5×1010 atoms/cm2, and more preferably, less than about 1×1010 atoms/cm2. Alternatively, the titanium nitride barrier material may have a copper diffusion potential of less than about 1×107 atoms/cm3.


“Substrate” or “substrate surface,” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, quartz, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Barrier layers, metals or metal nitrides on a substrate surface may include titanium, titanium nitride, titanium silicide nitride, tungsten, tungsten nitride, tungsten silicide nitride, tantalum, tantalum nitride, or tantalum silicide nitride. Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Substrates include semiconductor substrates, display substrates (e.g., LCD), solar panel substrates, and other types of substrates. Unless otherwise noted, embodiments and examples described herein are preferably conducted on substrates with a 200 mm diameter or a 300 mm diameter, more preferably, a 300 mm diameter. Processes of the embodiments described herein may be used to form or deposit titanium nitride materials on many substrates and surfaces. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si<100> or Si<111>), silicon oxide, glass, quartz, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers and patterned or non-patterned wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal and/or bake the substrate surface.


The following examples are given for the purpose of illustrating various embodiments of the invention and are not meant to limit the invention in any fashion.


EXAMPLES

200 mm TXZ® Chamber


A high-pressure process in a TXZ® chamber, available from Applied Materials, Inc., located in Santa Clara, Calif., is used for formation of the titanium nitride barrier layer. Low-resistivity titanium nitride thin-films are thermally deposited using a high-pressure MOCVD process. The chamber is plumbed to a titanium precursor, such as TDMAT or TDEAT. The titanium nitride thin film is subsequently plasma post treated with a hydrogen-nitrogen plasma generated by a high plasma power within a range from about 750 watts to about 1,250 watts in order to reduce the film resistivity.


Overview of the Formation of Titanium Nitride Barrier Layer


The substrate is heated to a predetermined temperature (e.g., about 360° C.). TDMAT is vaporized and exposed to the substrate to thermally decompose as a film deposited on the substrate surface at a low temperature of about 360° C. which corresponds to a heater temperature of about 380° C. and at a high chamber pressure of about 5 Torr. The process may be run with substrate temperatures ranging from about 320° C. to about 370° C. and chamber pressures ranging from about 1 Torr to about 10 Torr.


The decomposition rate of TDMAT is controlled by various process conditions. The step coverage and the deposition rates depend on the substrate temperature. As the decomposition of TDMAT is a pyrolytic process, the rate of decomposition and thereby the rate of deposition on the substrate increases with the substrate temperature. It is possible to compensate for the loss in deposition rate at a low temperature by an increase in precursor delivery. The deposition temperature is dependant on the type of application, e.g., the type of low K dielectric needed. However, a spacing change affects substrate temperature and thus the deposition rate is affected. Concomitantly, an increase in chamber pressure and/or an increase in TDMAT flow will increase the deposition rate. Additionally, increasing the nitrogen or helium carrier gas dilution flow will decrease the deposition rate.


The resultant deposited film contains titanium nitride carbide material. The titanium nitride carbide film is treated with a low frequency 350 kHz induced N2/H2 plasma generated by a high plasma power of about 750 watts. Such treatment reduces carbon concentration of the originally deposited titanium nitride layer. The plasma treatment duration depends on the thickness of the deposited titanium nitride layer. The titanium nitride layer may have a thickness within a range from about 5 Å to about 20 Å, for example, about 15 Å. Therefore, the plasma process may occur for a time period within a range from about 10 seconds to about 20 seconds, for example, about 15 seconds.


While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims
  • 1. A method for forming a titanium nitride barrier stack on a substrate, comprising: depositing a first titanium nitride layer on the substrate by a metal-organic chemical vapor deposition process;densifying the first titanium nitride layer by exposing the substrate to a plasma process;depositing a second titanium nitride layer on the first titanium nitride layer by the metal-organic chemical vapor deposition process;densifying the second titanium nitride layer by exposing the substrate to the plasma process;depositing a conductive material on the substrate; andexposing the substrate to an annealing process, wherein the titanium nitride barrier stack has a copper diffusion potential of less than about 5×1010 atoms/cm2.
  • 2. The method of claim 1, wherein the copper diffusion potential is less than about 1×1010 atoms/cm2.
  • 3. The method of claim 1, further comprising: depositing a third titanium nitride layer on the second titanium nitride layer by the metal-organic chemical vapor deposition process; anddensifying the third titanium nitride layer by exposing the substrate to the plasma process.
  • 4. The method of claim 3, wherein the first titanium nitride layer has a thickness of about 15 Å or less, the second titanium nitride layer has a thickness of about 15 Å or less, and the third titanium nitride layer has a thickness of about 15 Å or less.
  • 5. The method of claim 1, wherein the substrate is exposed to a plasma gas during the plasma process, the plasma gas comprises hydrogen and a gas selected from the group consisting of nitrogen, argon, helium, neon, and combinations thereof.
  • 6. The method of claim 5, wherein each of the titanium nitride layers is exposed to the plasma for a time period within a range from about 10 seconds to about 20 seconds and at a plasma power within a range from about 750 watts to about 1,250 watts.
  • 7. The method of claim 1, wherein the annealing process occurs for a time period within a range from about 30 minutes to about 90 minutes and at a temperature within a range from about 350° C. to about 500° C.
  • 8. The method of claim 1, wherein the titanium nitride barrier stack is deposited on a metallic titanium layer disposed on the substrate, and the metallic titanium layer is deposited on the substrate by a physical vapor deposition process.
  • 9. The method of claim 8, wherein the conductive material comprises an element selected from the group consisting of copper, tungsten, aluminum, titanium, tantalum, ruthenium, cobalt, alloys thereof, and combinations thereof.
CROSS-REFERENCE TO RELATED APPLICATION

This application claims benefit of U.S. Ser. No. 60/828,739 (APPM/011097L), filed Oct. 9, 2006, which is herein incorporated by reference in its entirety.

US Referenced Citations (220)
Number Name Date Kind
4486487 Skarp et al. Dec 1984 A
5306666 Izumi et al. Apr 1994 A
5374570 Nasu et al. Dec 1994 A
5526244 Bishop Jun 1996 A
5711811 Suntola et al. Jan 1998 A
5834372 Lee et al. Nov 1998 A
5916365 Sherman Jun 1999 A
5989345 Hatano et al. Nov 1999 A
6001420 Mosely et al. Dec 1999 A
6015590 Suntola et al. Jan 2000 A
6139700 Kang et al. Oct 2000 A
6174809 Kang et al. Jan 2001 B1
6197683 Kang et al. Mar 2001 B1
6200893 Sneh Mar 2001 B1
6203613 Gates et al. Mar 2001 B1
6207302 Sugiura et al. Mar 2001 B1
6207487 Kim et al. Mar 2001 B1
6270572 Kim et al. Aug 2001 B1
6284646 Leem et al. Sep 2001 B1
6287965 Kang et al. Sep 2001 B1
6291342 Lee et al. Sep 2001 B2
6294836 Paranjpe et al. Sep 2001 B1
6305314 Sneh et al. Oct 2001 B1
6342277 Sherman Jan 2002 B1
6348376 Lim et al. Feb 2002 B2
6358829 Yoon et al. Mar 2002 B2
6365502 Paranjpe et al. Apr 2002 B1
6372598 Kang et al. Apr 2002 B2
6391785 Satta et al. May 2002 B1
6399491 Jeon et al. Jun 2002 B2
6416577 Suntoloa et al. Jul 2002 B1
6416822 Chiang et al. Jul 2002 B1
6428859 Chiang et al. Aug 2002 B1
6451119 Sneh et al. Sep 2002 B2
6451695 Sneh Sep 2002 B2
6458701 Chae et al. Oct 2002 B1
6468924 Lee et al. Oct 2002 B2
6475276 Elers et al. Nov 2002 B1
6475910 Sneh Nov 2002 B1
6478872 Chae et al. Nov 2002 B1
6482733 Raaijmakers et al. Nov 2002 B2
6498091 Chen et al. Dec 2002 B1
6511539 Raaijmakers et al. Jan 2003 B1
6524952 Srinivas et al. Feb 2003 B1
6534395 Werkhoven et al. Mar 2003 B2
6548424 Putkonen et al. Apr 2003 B2
6551929 Kori et al. Apr 2003 B1
6569501 Chiang et al. May 2003 B2
6596602 Iizuka et al. Jul 2003 B2
6596643 Chen et al. Jul 2003 B2
6599572 Saanila et al. Jul 2003 B2
6607976 Chen et al. Aug 2003 B2
6620723 Byun et al. Sep 2003 B1
6627995 Paranjpe et al. Sep 2003 B2
6630201 Chiang et al. Oct 2003 B2
6632279 Ritala et al. Oct 2003 B1
6645847 Paranjpe et al. Nov 2003 B2
6660622 Chen et al. Dec 2003 B2
6686271 Raaijmakers et al. Feb 2004 B2
6720027 Yang et al. Apr 2004 B2
6740585 Yoon et al. May 2004 B2
6777352 Tepman et al. Aug 2004 B2
6790773 Drewery et al. Sep 2004 B1
6803272 Halliyal et al. Oct 2004 B1
6812126 Paranjpe et al. Nov 2004 B1
6815285 Choi et al. Nov 2004 B2
6821563 Yudovsky Nov 2004 B2
6831004 Byun et al. Dec 2004 B2
6838125 Chung et al. Jan 2005 B2
6846516 Yang et al. Jan 2005 B2
6849545 Mak et al. Feb 2005 B2
6861356 Matsuse et al. Mar 2005 B2
6875271 Glenn et al. Apr 2005 B2
6893915 Park et al. May 2005 B2
6911391 Yang et al. Jun 2005 B2
6953742 Chen et al. Oct 2005 B2
6958296 Chen et al. Oct 2005 B2
6998014 Chen et al. Feb 2006 B2
7026238 Xi et al. Apr 2006 B2
7041335 Chung May 2006 B2
7049226 Chung et al. May 2006 B2
7081271 Chung et al. Jul 2006 B2
7094685 Yang et al. Aug 2006 B2
7208413 Byun et al. Apr 2007 B2
20010000866 Sneh et al. May 2001 A1
20010002280 Sneh May 2001 A1
20010009140 Bondestam et al. Jul 2001 A1
20010009695 Saanila et al. Jul 2001 A1
20010024387 Raaijmakers et al. Sep 2001 A1
20010028924 Sherman Oct 2001 A1
20010034123 Jeon et al. Oct 2001 A1
20010041250 Werkhoven et al. Nov 2001 A1
20010050039 Park Dec 2001 A1
20010054730 Kim et al. Dec 2001 A1
20020000598 Kang et al. Jan 2002 A1
20020007790 Park Jan 2002 A1
20020021544 Cho et al. Feb 2002 A1
20020031618 Sherman Mar 2002 A1
20020041931 Suntola et al. Apr 2002 A1
20020048635 Kim et al. Apr 2002 A1
20020052097 Park May 2002 A1
20020060363 Xi et al. May 2002 A1
20020068458 Chiang et al. Jun 2002 A1
20020073924 Chiang et al. Jun 2002 A1
20020074588 Lee Jun 2002 A1
20020076481 Chiang et al. Jun 2002 A1
20020076507 Chiang et al. Jun 2002 A1
20020076508 Chiang et al. Jun 2002 A1
20020081844 Jeon et al. Jun 2002 A1
20020086111 Byun et al. Jul 2002 A1
20020086507 Park et al. Jul 2002 A1
20020094689 Park Jul 2002 A1
20020104481 Chiang et al. Aug 2002 A1
20020106536 Lee et al. Aug 2002 A1
20020135071 Kang et al. Sep 2002 A1
20020144655 Chiang et al. Oct 2002 A1
20020144657 Chiang et al. Oct 2002 A1
20020146511 Chiang et al. Oct 2002 A1
20020155722 Satta et al. Oct 2002 A1
20020162506 Sneh et al. Nov 2002 A1
20020164421 Chiang et al. Nov 2002 A1
20020164423 Chiang et al. Nov 2002 A1
20020177282 Song Nov 2002 A1
20020182320 Leskela et al. Dec 2002 A1
20020187256 Elers et al. Dec 2002 A1
20020187631 Kim et al. Dec 2002 A1
20020197402 Chiang et al. Dec 2002 A1
20020197856 Matsuse et al. Dec 2002 A1
20020197863 Mak et al. Dec 2002 A1
20030013300 Byun Jan 2003 A1
20030013320 Kim et al. Jan 2003 A1
20030022487 Yoon et al. Jan 2003 A1
20030022507 Chen et al. Jan 2003 A1
20030029715 Yu et al. Feb 2003 A1
20030031807 Elers et al. Feb 2003 A1
20030032281 Werkhoven et al. Feb 2003 A1
20030038369 Layadi et al. Feb 2003 A1
20030042630 Babcoke et al. Mar 2003 A1
20030049931 Byun et al. Mar 2003 A1
20030049942 Haukka et al. Mar 2003 A1
20030054631 Raaijmakers et al. Mar 2003 A1
20030072884 Zhang et al. Apr 2003 A1
20030072975 Shero et al. Apr 2003 A1
20030082296 Elers et al. May 2003 A1
20030082300 Todd et al. May 2003 A1
20030082301 Chen et al. May 2003 A1
20030082307 Chung et al. May 2003 A1
20030087520 Chen et al. May 2003 A1
20030089308 Raaijmakers May 2003 A1
20030101927 Raaijmakers Jun 2003 A1
20030108674 Chung et al. Jun 2003 A1
20030116087 Nguyen et al. Jun 2003 A1
20030124262 Chen et al. Jul 2003 A1
20030129826 Werkhoven et al. Jul 2003 A1
20030134508 Raaijmakers et al. Jul 2003 A1
20030143328 Chen et al. Jul 2003 A1
20030143839 Raaijmakers et al. Jul 2003 A1
20030143841 Yang et al. Jul 2003 A1
20030153177 Tepman et al. Aug 2003 A1
20030165615 Aaltonen et al. Sep 2003 A1
20030168750 Basceri et al. Sep 2003 A1
20030173586 Moriwaki et al. Sep 2003 A1
20030186495 Saanila et al. Oct 2003 A1
20030190423 Yang et al. Oct 2003 A1
20030190497 Yang et al. Oct 2003 A1
20030190804 Glenn et al. Oct 2003 A1
20030205729 Basceri et al. Nov 2003 A1
20030219942 Choi et al. Nov 2003 A1
20030232497 Xi et al. Dec 2003 A1
20040005749 Choi et al. Jan 2004 A1
20040009307 Koh et al. Jan 2004 A1
20040009336 Marcadal et al. Jan 2004 A1
20040013803 Chung et al. Jan 2004 A1
20040018304 Chung et al. Jan 2004 A1
20040018723 Byun et al. Jan 2004 A1
20040018747 Lee et al. Jan 2004 A1
20040033698 Lee et al. Feb 2004 A1
20040043630 Vaartstra et al. Mar 2004 A1
20040065255 Yang et al. Apr 2004 A1
20040067641 Yudovsky Apr 2004 A1
20040077183 Chung Apr 2004 A1
20040105934 Chang et al. Jun 2004 A1
20040197492 Chen et al. Oct 2004 A1
20040209460 Xi et al. Oct 2004 A1
20040211665 Yoon et al. Oct 2004 A1
20040214354 Marsh et al. Oct 2004 A1
20040235285 Kang et al. Nov 2004 A1
20040241321 Ganguli et al. Dec 2004 A1
20050006799 Gregg et al. Jan 2005 A1
20050008779 Yang et al. Jan 2005 A1
20050074968 Chen et al. Apr 2005 A1
20050106865 Chung et al. May 2005 A1
20050118804 Byun et al. Jun 2005 A1
20050220998 Chang et al. Oct 2005 A1
20050252449 Nguyen et al. Nov 2005 A1
20050255690 Chen et al. Nov 2005 A1
20050260357 Olsen et al. Nov 2005 A1
20050271812 Myo et al. Dec 2005 A1
20050271813 Kher et al. Dec 2005 A1
20050277290 Yang et al. Dec 2005 A1
20060019033 Muthukrishnan et al. Jan 2006 A1
20060062917 Muthukrishnan et al. Mar 2006 A1
20060075966 Chen et al. Apr 2006 A1
20060148253 Chung et al. Jul 2006 A1
20060153973 Chang et al. Jul 2006 A1
20060153995 Narwankar et al. Jul 2006 A1
20060156979 Thakur et al. Jul 2006 A1
20060199372 Chung et al. Sep 2006 A1
20060216928 Chung et al. Sep 2006 A1
20060276020 Yoon et al. Dec 2006 A1
20060292864 Yang et al. Dec 2006 A1
20070003698 Chen et al. Jan 2007 A1
20070020890 Thakur et al. Jan 2007 A1
20070026147 Chen et al. Feb 2007 A1
20070099415 Chen et al. May 2007 A1
20070119370 Ma et al. May 2007 A1
20070119371 Ma et al. May 2007 A1
20070128862 Ma et al. Jun 2007 A1
20070128863 Ma et al. Jun 2007 A1
20070128864 Ma et al. Jun 2007 A1
Foreign Referenced Citations (31)
Number Date Country
1167569 Jan 2002 EP
2355727 May 2001 GB
02246161 Oct 1990 JP
07300649 Nov 1995 JP
10308283 Nov 1998 JP
2000031387 Jan 2000 JP
2000058777 Feb 2000 JP
2001111000 Apr 2001 JP
2001172767 Jun 2001 JP
2001220294 Aug 2001 JP
2001254181 Sep 2001 JP
WO-9617107 Jun 1996 WO
WO-9901595 Jan 1999 WO
WO-9929924 Jun 1999 WO
WO-0015865 Mar 2000 WO
WO-0016377 Mar 2000 WO
WO-0054320 Sep 2000 WO
WO-0063957 Oct 2000 WO
WO-0079576 Dec 2000 WO
WO-0115220 Mar 2001 WO
WO-0117692 Mar 2001 WO
WO-0127346 Apr 2001 WO
WO-0127347 Apr 2001 WO
WO-0129280 Apr 2001 WO
WO-0129891 Apr 2001 WO
WO-0129893 Apr 2001 WO
WO-0166832 Sep 2001 WO
WO-0201628 Jan 2002 WO
WO-0245871 Jun 2002 WO
WO-0246489 Jun 2002 WO
WO-0267319 Aug 2002 WO
Related Publications (1)
Number Date Country
20080085611 A1 Apr 2008 US
Provisional Applications (1)
Number Date Country
60828739 Oct 2006 US