The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs. For example, high temperature processes performed during the formation of certain IC components may cause damage to other IC components. As a result, the device performance may not be optimal.
Therefore, although conventional methods of fabricating semiconductor devices have generally been adequate, they have not been satisfactory in all aspects.
The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the disclosure. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact.
In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Moreover, the formation of a feature on, connected to, and/or coupled to another feature in the present disclosure that follows may include embodiments in which the features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the features, such that the features may not be in direct contact. In addition, spatially relative terms, for example, “lower,” “upper,” “horizontal,” “vertical,” “above,” “over,” “below,” “beneath,” “up,” “down,” “top,” “bottom,” etc., as well as derivatives thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) are used for ease of the present disclosure of one features relationship to another feature. The spatially relative terms are intended to cover different orientations of the device including the features. Still further, when a number or a range of numbers is described with “about,” “approximate,” and the like, the term is intended to encompass numbers that are within a reasonable range including the number described, such as within +/−10% of the number described or other values as understood by person skilled in the art. For example, the term “about 5 nm” encompasses the dimension range from 4.5 nm to 5.5 nm.
The present disclosure is generally related to semiconductor devices, and more particularly to field-effect transistors (FETs), such as three-dimensional fin-shaped FETs (FinFETs) or gate-all-around (GAA) devices. In that regard, a FinFET device is a fin-like field-effect transistor device, and a GAA device is a multi-channel field-effect transistor device. FinFET devices and GAA devices have both been gaining popularity recently in the semiconductor industry, since they offer several advantages over traditional Metal-Oxide Semiconductor Field Effect Transistor (MOSFET) devices (e.g., “planar” transistor devices). These advantages may include better chip area efficiency, improved carrier mobility, and fabrication processing that is compatible with the fabrication processing of planar devices. Thus, it may be desirable to design an integrated circuit (IC) chip using FinFET devices or GAA devices for a portion of, or the entire IC chip. For example, a complementary field effect transistor (CFET) may bond a top-tier device and a bottom-tier device together, where the top-tier device and/or the bottom-tier device may be implemented as GAA devices or FinFET devices.
However, in spite of the advantages offered by the FinFET devices and/or GAA devices, certain challenges may still remain in IC applications in which FinFET or GAA devices are implemented, including in CFET devices. For instance, conventional threshold voltage (Vt) tuning may be done by a dipole drive-in method, which is performed using a relatively high temperature (e.g., greater than about 700 degrees Celsius) to offer a sufficient Vt tuning range. However, a temperature greater than about 500 degrees Celsius is typically not allowed for top-tier device fabrication in a sequential CFET architecture, since that may impact electrical performance (e.g., Vt shifting, Ion degradation, etc.) of the bottom-tier device. In addition, in existing CFET schemes, the high-k (HK) material is mainly amorphous. Amorphous high-k material may not achieve a sufficiently high dielectric constant. As a result, device performance has not been optimized.
To address the issues discussed above, the present disclosure implements a dipole material via a dipole-first approach, which eliminates the need for a high temperature (e.g., at or greater than about 700 degrees Celsius) dipole drive-in process to be performed later. In some embodiments, the dipole material may include yttrium oxide (Y2O3). In other embodiments, the dipole material may include scandium oxide (Sc2O3). As will be discussed below in more detail, the dipole-first scheme forms the dipole material before a high-k gate dielectric layer. Since no high temperature dipole drive-in process needs to be performed, the potential adverse impact on the bottom-tier device of the CFET is reduced. In addition, the present disclosure forms a doped high-k gate dielectric layer (e.g., an yttrium-doped high-k gate dielectric layer) over the dipole layer. The doped high-k gate dielectric layer can at least partially achieve a crystalline phase (e.g., a cubic phase or a tetragonal phase) in response to an annealing process. The crystalline phase offers the high-k gate dielectric layer of the present disclosure a greater dielectric constant value compared to amorphous gate dielectric layers in conventional implementations. The increased dielectric constant value herein results in an improvement in device performance.
Referring to
Three-dimensional active regions 120 are formed on the substrate 110. The active regions 120 are elongated fin-like structures that protrude upwardly out of the substrate 110. As such, the active regions 120 may be interchangeably referred to as fin structures 120 hereinafter. The fin structures 120 may be fabricated using suitable processes including photolithography and etch processes. The photolithography process may include forming a photoresist layer overlying the substrate 110, exposing the photoresist to a pattern, performing post-exposure bake processes, and developing the photoresist to form a masking element (not shown) including the resist. The masking element is then used for etching recesses into the substrate 110, leaving the fin structures 120 on the substrate 110. The etching process may include dry etching, wet etching, reactive ion etching (RIE), and/or other suitable processes. In some embodiments, the fin structure 120 may be formed by double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. As an example, a layer may be formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned layer using a self-aligned process. The layer is then removed, and the remaining spacers, or mandrels, may then be used to pattern the fin structures 120.
The IC device 90 also includes source/drain features 122 formed over the fin structures 120. The source/drain features 122 may include epi-layers that are epitaxially grown on the fin structures 120. The IC device 90 further includes isolation structures 130 formed over the substrate 110. The isolation structures 130 electrically separate various components of the IC device 90. The isolation structures 130 may include silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable materials. In some embodiments, the isolation structures 130 may include shallow trench isolation (STI) features. In one embodiment, the isolation structures 130 are formed by etching trenches in the substrate 110 during the formation of the fin structures 120. The trenches may then be filled with an isolating material described above, followed by a chemical mechanical planarization (CMP) process. Other isolation structure such as field oxide, local oxidation of silicon (LOCOS), and/or other suitable structures may also be implemented as the isolation structures 130. Alternatively, the isolation structures 130 may include a multi-layer structure, for example, having one or more thermal oxide liner layers.
The IC device 90 also includes gate structures 140 formed over and engaging the fin structures 120 on three sides in a channel region of each fin 120. The gate structures 140 may be dummy gate structures (e.g., containing an oxide gate dielectric and a polysilicon gate electrode), or they may be HKMG structures that contain a high-k gate dielectric and a metal gate electrode, where the HKMG structures are formed by replacing the dummy gate structures. Though not depicted herein, the gate structure 140 may include additional material layers, such as an interfacial layer over the fin structures 120, a capping layer, other suitable layers, or combinations thereof.
Referring to
It is also understood that the various aspects of the present disclosure discussed below may apply to multi-channel devices such as Gate-All-Around (GAA) devices.
A plurality of nano-structures 170 is disposed over each of the fin structures 120. The nano-structures 170 may include nano-sheets, nano-tubes, or nano-wires, or some other type of nano-structure that extends horizontally in the X-direction. Portions of the nano-structures 170 under the gate structure 140 may serve as the channels of the GAA device 150. Dielectric inner spacers 175 may be disposed between the nano-structures 170. In addition, although not illustrated for reasons of simplicity, each of the nano-structures 170 may be wrapped around circumferentially by a gate dielectric as well as a gate electrode. In the illustrated embodiment, the portions of the nano-structures 170 outside the gate structure 140 may serve as the source/drain features of the GAA device 150. However, in some embodiments, continuous source/drain features may be epitaxially grown over portions of the fin structures 120 outside of the gate structure 140. Regardless, conductive source/drain contacts 180 may be formed over the source/drain features to provide electrical connectivity thereto. An interlayer dielectric (ILD) 185 is formed over the isolation structures 130 and around the gate structure 140 and the source/drain contacts 180.
Regardless of whether the transistors of an IC are implemented as a FinFET of
Referring now to
An interfacial layer (IL) 210A and an interfacial layer 210B are formed over the active regions 120A and 120B, respectively. In some embodiments, the interfacial layers 210A and 210B include a group III-V-based oxide.
A dipole layer 220A and a dipole layer 220B are formed over the interfacial layers 210A and 210B, respectively. In the illustrated embodiment, the dipole layers 220A and 220B serve as dipole sources for threshold voltage shifting. Conventionally, a lanthanum-containing material, such as lanthanum oxide, may be used as a dipole source for threshold voltage shifting. Lanthanum oxide has relatively strong threshold voltage shifting properties, which may be well suited for conventional dipole layer designs, since conventional dipole layers are formed over a high-k gate dielectric layer in a “dipole-last” approach. In other words, the high-k gate dielectric layer (and the interfacial layer, if it is implemented) separates the conventional dipole layer from the active region (where the active region is located below the high-k gate dielectric layer), the strong threshold voltage shifting properties of lanthanum oxide can compensate for the distance corresponding to a thickness of the high-k gate dielectric layer.
However, according to the process flow of the present disclosure, the dipole layers 220A and 220B are formed before the formation of gate dielectric layers. In other words, the dipole layers are formed “first” in a “dipole-first” process flow. This means that the dipole layers 220A and 220B herein are much closer to the active regions 120A and 120B below, since they are separated from the active regions 120A and 120B by the interfacial layer 210A and 210B, but not by high-k gate dielectric layers. Consequently, if lanthanum oxide is still used to implement the dipole layers 220A and 220B, then the threshold voltage shifting would have been greater than what is desirable. Therefore, the present disclosure implements the dipole layers 220A and 220B using a material that has weaker threshold voltage shifting properties than lanthanum oxide. In some embodiments, the dipole layers 220A and 220B are implemented using yttrium oxide (Y2O3). In other embodiments, the dipole layers 220A and 220B are implemented using scandium oxide (Sc2O3).
The dipole layers 220A and 220B may be formed by a suitable deposition process, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or combinations thereof. The deposition processes are performed such that the dipole layer 220A has a thickness 230A, and the dipole layer 220B has a thickness 230B. The thicknesses 230A and 230B are each in a range between about 0.1 nanometers (nm) and about 1 nm. However, as shown in
Referring now to
The gate dielectric layers 250A and 250B contain high-k dielectric materials, which are dielectric materials that have a dielectric constant greater than a dielectric constant of silicon dioxide. In some embodiments, the gate dielectric layers 250A and 250B include hafnium oxide. In other embodiments, the gate dielectric layers 250A and 250B include zirconium oxide.
In some embodiments, the gate dielectric layers 250A and 250B are also doped with a dopant. For example, the gate dielectric layers 250A and 250B may be doped with yttrium. The implementation of the dopant material in the gate dielectric layers 250A and 250B may be accomplished by tuning the deposition processes 240. For example, referring now to
As a simplified example, suppose the number N has a value of 10. In that case, 10 cycles of deposition processes (e.g., CVD or ALD) are performed to form 10 sub-layers of high-k dielectric material 252, which may be initially undoped. As discussed above, the high-k dielectric material 252 may include hafnium oxide in some embodiments, or zirconium oxide in some other embodiments. After the 10th layer of the undoped high-k dielectric material 252 is deposited, another deposition process (e.g., CVD or ALD) is performed to deposit a sub-layer of a dopant-containing material 254 (e.g., an yttrium-containing material such as yttrium oxide) on the 10th sub-layer of the undoped high-k dielectric material 252. At this point, a structure comprising 10 sub-layers of undoped high-k dielectric materials 252 and 1 sub-layer of a dopant-containing material 254 is formed via a single cycle of the M number of cycles.
The above processes may be repeated for an M number of times to form a composite structure that contains an M number of repeating stacks, where each of the repeating stacks includes 10 sub-layers of high-k dielectric materials 252 and 1 sub-layer of the dopant-containing material 254. In this manner, the resulting gate dielectric layer 250A/250B contains both the high-k dielectric material 252, as well as the dopant-containing material 254.
It is understood that the values of M and N may be flexibly configured in various embodiments to fit the design needs and/or fabrication requirements. For example, the greater the value of N is, the lower the concentration of the dopant is in the final gate dielectric layer 250A/B. This is because as N increases, the gate dielectric layer 250A/B will contain more sub-layers of the high-k dielectric material, while the dopant-containing material 254 still remains constant (since 1 sub-layer of the dopant-containing material 254 is deposited for every N number of sub-layers of the high-k dielectric material 252). In other words, the value of N may be configured to tune the concentration level of the dopant (e.g., yttrium oxide) in the gate dielectric layers 250A/B. Meanwhile, the overall thickness (e.g., the thickness 260A/B in
It is also understood that there may not be a clear demarcation line between the high-k dielectric materials 252 and the dopant-containing material 254 in the final structure of the gate dielectric layer 250A/B. For example, the dopant (e.g., yttrium oxide) from the dopant-containing material 254 may diffuse into one or more sub-layers of the high-k dielectric materials 252 below. For reasons of simplicity, such a diffusion is not specifically illustrated herein.
At this stage of fabrication, the gate dielectric layers 250A and 250B are amorphous, which results in a lower-than-optimal dielectric constant value. In order to raise the dielectric constant value, an annealing process will be performed to transform the amorphous material at least partially into a material with a crystalline phase, so that the gate dielectric layers 250A and 250B can achieve a higher dielectric constant value. This is shown in
In embodiments where the gate dielectric layers 250A and 250B include hafnium oxide, the annealing process 280 converts at least portions of the amorphous hafnium oxide material into a crystalline hafnium oxide material with a cubic crystalline phase. In embodiments where the gate dielectric layers 250A and 250B include zirconium oxide, the annealing process 280 converts at least portions of the amorphous zirconium oxide material into a crystalline zirconium oxide material with a tetragonal crystalline phase.
Regardless of the material compositions and/or the crystalline phases of the gate dielectric layers 250A and 250B (after the annealing process 280 has been performed), it is understood that one benefit of the crystalline phases of the gate dielectric layers 250A and 250B is that they lead to a greater dielectric constant (compared to the amorphous materials of the gate dielectric layers 250A and 250B). The greater dielectric constants of the gate dielectric layers 250A and 250B translate into performance improvements, for example, the ease of equivalent oxide thickness (EOT) scaling.
In some embodiments, the annealing process 280 is performed with a temperature that is less than 500 degrees Celsius, for example, in a range between about 400 degrees Celsius and about 500 degrees Celsius. Such a temperature range is specifically configured to minimize damage to the bottom-tier device of a CFET. In more detail, the annealing process 280 herein is performed to gate structures 200A and 200B, which are top-tier devices of the CFET. At this stage of fabrication, the bottom-tier device-which is bonded to the top-tier device-includes transistors that have already been substantially formed. If the temperature of the annealing process 280 is too high (e.g., greater than about 500 degrees Celsius), then such a high temperature could cause damage to the transistor components of the bottom-tier device. Here, by ensuring that the temperature of the annealing process 280 is less than 500 degrees Celsius, potential damage to the bottom-tier device is prevented, or at least minimized.
Note that the relative disposition between the gate dielectric layer 250A and the dipole layer 220A (and likewise, between the gate dielectric layer 250B and the dipole layer 220B) is one of the unique physical characteristics of the devices of the present disclosure, as well as an inherent result of the unique fabrication process flow of the present disclosure performed herein. For example, the unique dipole-first approach of the present disclosure inherently results in the dipole layers 220A/220B being formed below the gate dielectric layers 250A/250B. In contrast, conventional devices have a reverse relative disposition between their dipole layers and gate dielectric layers: their dipole layers are located above the gate dielectric layers, since conventional devices utilize a dipole-last approach. Therefore, if a device is detected to have its dipole layer implemented below its gate dielectric layer, it may be evidence that such a device was fabricated using the unique dipole-first process flow of the present disclosure.
Referring now to
As shown in the graph 350A, going from right to left on the X-axis (i.e., representing going from a deeper depth to a shallower depth within the gate structure 200A), the yttrium concentration 360A starts off at a relatively low level 370A and remains relatively flat throughout the active region 120A and most of the interfacial layer 210A. The yttrium concentration 360A begins to rise rapidly near the interface between the interfacial layer 210A and the dipole layer 220A, reaches a peak 375A near a mid-point within the dipole layer 220A, and thereafter begins to drop, until another plateau 380A is reached in the gate dielectric layer 250A. The yttrium concentration 360A remains at or near the plateau 380A throughout most of the gate dielectric layer 250A, and then it begins to drop off rapidly past the interface between the gate dielectric layer 250A and the metal-containing gate electrode 300A. The yttrium concentration 360A reaches another plateau 385A within the metal-containing gate electrode 300A.
The behavior of the yttrium concentration 360A illustrated in
The yttrium concentration 360B illustrated in
In some embodiments, a ratio between the yttrium concentration levels corresponding to the peak 375A and the plateau level 380A is in a range between about 2% and about 10%, and a ratio between the yttrium concentration levels corresponding to the plateau level 380A and the plateau level 385A is in a range between about 0% and about 2%. Similar ratio ranges may apply to the peak 375B, the plateau level 380A, and the plateau level 385B of
It is understood that the yttrium concentration profiles illustrated in
It is also understood that although yttrium is used herein to describe the dipole profile of example devices of the present disclosure, it is not intended to be limiting. In other embodiments, scandium oxide (instead of yttrium oxide) may be used to implement the dipole layer 220A/220B. In these embodiments, the profiles illustrated in
It is understood that although the examples herein correspond to N-type transistors, the same concepts may apply to P-type transistors as well. For example, the dipole-first approach discussed above may also be used to form a P-dipole layer over an active region of a P-type transistor, a doped gate dielectric layer may then be formed over the P-dipole layer, followed by an annealing process to convert amorphous materials of the doped gate dielectric layer at least partially into materials with crystalline phases, and a metal-containing gate electrode may then be formed over the gate dielectric layer. Regardless of whether the concepts of the present disclosure are used to form an N-type transistor or a P-type transistor, the same benefits may be achieved. For example, damage to the bottom-tier device may be avoided, and the device performance may be improved by raising the dielectric constant values (e.g., by converting amorphous materials into crystal materials).
Referring to
Source/drain regions 480 are also formed (e.g., via epitaxial growth) by these fabrication processes. As used herein, the source/drain region 480, or “S/D region,” may refer to a source or a drain of a transistor device. It may also refer to a region that provides a source and/or drain for multiple transistor devices. Inner spacers 490 are formed between the source/drain regions 480 and the semiconductor layers 430. The inner spacers 490 may also include a suitable dielectric material. An ILD0500 is also formed over the source/drain regions 480 and between the dummy gate structures 440. The ILD0500 includes a suitable dielectric material to provide electrical isolation between various microelectronic components of the CFET 400. The ILD0500 may be planarized by a chemical mechanical polishing (CMP) process to flatten its upper surface.
Referring now to
Although the dipole-last approach is used to form the gate dielectric structure 530 in the illustrated embodiment, it is understood that the dipole-first approach discussed above with
Regardless of which approach is used to form the gate dielectric structure 530, the gate electrode 540 is formed over the gate dielectric structure 530. The gate electrode 540 may include metal materials, for example, work function metal materials and fill metal materials. In other words, the gate electrode 540 may be similar to the gate electrodes 300A-300B discussed above. A self-aligned contact (SAC) 550 may also be formed over an uppermost surface of the gate electrode 540. Source/drain contacts 560 are formed over the source/drain regions 480 to provide electrical connectivity to the source/drain regions 480.
It is understood that the microelectronic components discussed above with reference to
The top-tier device 400B also includes a plurality of alternating semiconductor layers 630 and 631 formed over the substrate 610. In some embodiments, the semiconductor layers 430 include silicon germanium (SiGe), and the semiconductor layers 431 include silicon (Si). Note that although
Before the bonding process 580 is formed, a bonding layer 640 and a bonding layer 650 are formed over the topmost surfaces of the top-tier device 400B and the bottom-tier device 400A, respectively. The bonding process 580 then bonds the top-tier device 400B to the bottom-tier device 400A via the bonding layers 640 and 650. In other words, the exposed surface of the bonding layer 640 is bonded to the exposed surface of the bonding layer 650. Note that the top-tier device 400B may be vertically “flipped over” after being bonded to the bottom-tier device 400A, as shown in
Referring now to
Referring now to
Referring now to
Dummy gate structures 700 are formed over the uppermost one of the nano-structure channels 631. In some embodiments, the dummy gate structures 700 may include a polysilicon dummy gate electrode. Each dummy gate structure 700 may be patterned by one or more hard mask layers 710, which may include one or more dielectric materials. Gate spacers 720 are formed on sidewalls of each of the dummy gate structures 700. The gate spacers 720 may also include a suitable dielectric material. In some embodiments, each of the gate spacers 720 may include a plurality of gate spacer layers, but this is not specifically illustrated herein for reasons of simplicity.
An ILD1730 is also formed over the source/drain regions 680 and between the dummy gate structures 700. The ILD1730 includes a suitable dielectric material to provide electrical isolation between various microelectronic components of the top-tier device 400B of the CFET 400. The ILD1730 may be planarized by a chemical mechanical polishing (CMP) process to flatten its upper surface.
Referring now to
Referring now to
In any case, it is understood that the dipole layer of the gate dielectric structure 830 include a dipole material that has weaker threshold voltage tuning properties than lanthanum oxide. For example, the dipole material of the gate dielectric structure 830 may include yttrium oxide or scandium oxide. These materials are better suited for tuning the threshold voltages for the top-tier device 400B herein, since the dipole-first approach means that the dipole layer is located closer to the nano-structure channels 631 of the top-tier device 400B. In addition, the dipole-first approach means that no high-temperature dipole drive-in process needs to be performed. The process temperature of the annealing process (e.g., performed to convert the amorphous material of the high-k gate dielectric into a crystalline phase material) is sufficiently low (e.g., at or below about 500 degrees Celsius) to prevent or at least reduce potential damage to the microelectronic components of the bottom-tier device 400A. The conversion of the amorphous material into the crystalline phase material (e.g., hafnium oxide with a cubic phase or zirconium oxide with a tetragonal phase) also helps to increase the dielectric constant of the high-k gate dielectric layer. As such, device performance of the top-tier device 400B and/or the ease of EOT scaling may be improved.
The gate electrodes 840 may include metal materials, for example, work function metal materials and fill metal materials. In other words, the gate electrode 840 may be similar to the gate electrodes 300A-300B discussed above. A self-aligned contact (SAC) 850 may also be formed over an uppermost surface of the gate electrode 840.
Referring now to
In an embodiment, the entity 902 represents a service system for manufacturing collaboration; the entity 904 represents an user, such as product engineer monitoring the interested products; the entity 906 represents an engineer, such as a processing engineer to control process and the relevant recipes, or an equipment engineer to monitor or tune the conditions and setting of the processing tools; the entity 908 represents a metrology tool for IC testing and measurement; the entity 910 represents a semiconductor processing tool, such an EUV tool that is used to perform lithography processes to define the gate spacers of an SRAM device; the entity 912 represents a virtual metrology module associated with the processing tool 910; the entity 914 represents an advanced processing control module associated with the processing tool 910 and additionally other processing tools; and the entity 916 represents a sampling module associated with the processing tool 910.
Each entity may interact with other entities and may provide integrated circuit fabrication, processing control, and/or calculating capability to and/or receive such capabilities from the other entities. Each entity may also include one or more computer systems for performing calculations and carrying out automations. For example, the advanced processing control module of the entity 914 may include a plurality of computer hardware having software instructions encoded therein. The computer hardware may include hard drives, flash drives, CD-ROMs, RAM memory, display devices (e.g., monitors), input/output device (e.g., mouse and keyboard). The software instructions may be written in any suitable programming language and may be designed to carry out specific tasks.
The integrated circuit fabrication system 900 enables interaction among the entities for the purpose of integrated circuit (IC) manufacturing, as well as the advanced processing control of the IC manufacturing. In an embodiment, the advanced processing control includes adjusting the processing conditions, settings, and/or recipes of one processing tool applicable to the relevant wafers according to the metrology results.
In another embodiment, the metrology results are measured from a subset of processed wafers according to an optimal sampling rate determined based on the process quality and/or product quality. In yet another embodiment, the metrology results are measured from chosen fields and points of the subset of processed wafers according to an optimal sampling field/point determined based on various characteristics of the process quality and/or product quality.
One of the capabilities provided by the IC fabrication system 900 may enable collaboration and information access in such areas as design, engineering, and processing, metrology, and advanced processing control. Another capability provided by the IC fabrication system 900 may integrate systems between facilities, such as between the metrology tool and the processing tool. Such integration enables facilities to coordinate their activities. For example, integrating the metrology tool and the processing tool may enable manufacturing information to be incorporated more efficiently into the fabrication process or the APC module, and may enable wafer data from the online or in site measurement with the metrology tool integrated in the associated processing tool.
The method 1000 includes a step 1020 to form a doped gate dielectric layer over the dipole layer. The doped gate dielectric layer contains an amorphous material. In some embodiments, the step 1020 of forming the doped gate dielectric layer comprises forming an yttrium-doped gate dielectric layer. In some embodiments, the step 1020 of forming the doped gate dielectric layer comprises performing a first number of deposition cycles. Each cycle of the first number of deposition cycles comprises: depositing a sub-layer of undoped gate dielectric material, wherein the undoped gate dielectric material has a dielectric constant that is greater than a dielectric constant of silicon dioxide; repeating the depositing of the sub-layer for a second number of times; and depositing an yttrium oxide layer over an uppermost one of the sub-layers of the undoped gate dielectric material.
The method 1000 includes a step 1030 to convert, via an annealing process, the amorphous material of the doped gate dielectric layer into a material with partially crystalline phases. In some embodiments, the step 1020 of forming the doped gate dielectric layer comprises forming a doped hafnium oxide layer, and the step 1030 of converting comprises converting the doped hafnium oxide layer into a layer that at least partially has a cubic crystal phase. In other embodiments, the step 1020 of forming the doped gate dielectric layer comprises forming a doped zirconium oxide layer, and the step 1030 of converting comprises converting the doped zirconium oxide layer into a layer that at least partially has a tetragonal crystal phase. In some embodiments, the annealing process is performed with a process temperature that is less than about 500 degrees Celsius.
The method 1000 includes a step 1040 to form a metal-containing gate electrode over the doped gate dielectric layer.
It is understood that the method 1000 may include further steps performed before, during, or after the steps 1010-1040. For example, before the steps 1010-1040 are performed, the method may include the processes performed to form a bottom device of a CFET, as well as steps to form certain components of a top device of the CFET, and bonding the top device to the bottom device. The steps 1010-1040 are performed a part of a formation of a gate structure of the top device of the CFET. For reasons of simplicity, other additional steps are not discussed herein in detail.
In summary, the present disclosure involves using a dipole first approach to fabricate a gate dielectric of a top tier device of a CFET. in more detail, rather than forming a dipole layer over a high-k dielectric layer, the present disclosure forms a dipole layer over an interfacial layer, and then forms a doped amorphous high-k gate dielectric layer over the dipole layer. An annealing process is performed to convert at least partially the amorphous high-k gate dielectric into materials having crystalline phases. A metal-containing gate is then formed over the high-k gate dielectric.
The embodiments of the present disclosure offer advantages over conventional CFET devices. It is understood, however, that other embodiments may offer additional advantages, and not all advantages are necessarily disclosed herein, and that no particular advantage is required for all embodiments. One advantage is the prevention and/or reduction of device damages. In more detail, conventional CFET fabrication involves performing a dipole drive-in process when the top-tier device is fabricated. Such a dipole drive-in process is usually performed with a relatively high temperature, for example, a temperature that is greater than about 500 degrees Celsius. However, by the time that this high temperature dipole drive-in process is performed, the microelectronic components of the bottom-tier device of the CFET have already been formed, which are susceptible to damages caused by high temperatures. In other words, conventional fabrication methods used to fabricate the top-tier device of the CFET may inadvertently damage the bottom-tier device. Here, the unique dipole-first process flow means that no high-temperature dipole drive-in process is needed. The temperatures (e.g., at or below 500 degrees Celsius) associated with the annealing process performed herein are still sufficiently low, such that the microelectronic components of the bottom-tier device are unlikely to be damaged. Another advantage of the present disclosure is improvements in device performance. For example, by converting the amorphous material of the high-k dielectric layer at least partially into a crystalline material, the dielectric constant of the resulting high-k dielectric layer is raised, which leads to an improvement in device performance of the gate structure. Other advantages include compatibility with existing fabrication processes and the ease and low cost of implementation.
One aspect of the present disclosure pertains to a device. The device includes an active region. The device includes a dipole layer disposed over the active region. The device includes a doped gate dielectric layer disposed over the dipole layer. The device includes a metal-containing gate electrode disposed over the doped gate dielectric layer.
Another aspect of the present disclosure pertains to a device. The device includes a top-tier transistor that includes a first gate structure. The first gate structure includes a first dipole layer and a first gate dielectric layer disposed over the first dipole layer. The first gate dielectric layer is doped with a dopant. The device includes a bottom-tier transistor vertically bonded to the top-tier transistor. The bottom-tier transistor includes a second gate structure. The second gate structure includes a second gate dielectric layer and a second dipole layer disposed over the second gate dielectric layer. The first dipole layer and the second dipole layer have different material compositions.
Another aspect of the present disclosure pertains to a method. A dipole layer is formed over a semiconductor channel region. A doped gate dielectric layer is formed over the dipole layer. The doped gate dielectric layer contains an amorphous material. Via an annealing process, the amorphous material of the doped gate dielectric layer is converted into a material with at least partially crystal phases. After the doped gate dielectric layer is converted into the layer with partially crystal phases, a metal-containing gate electrode is formed over the doped gate dielectric layer.
The foregoing outlines features of several embodiments so that those of ordinary skill in the art may better understand the aspects of the present disclosure. Those of ordinary skill in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those of ordinary skill in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
The present application is a utility patent application of provisional U.S. Patent Application No. 63/481,712, filed on Jan. 26, 2023, and entitled “Bifunctional Material As Vt Shifter of Top Device in Sequential CFET”, the disclosure of which is hereby incorporated by reference in its entirety.
Number | Date | Country | |
---|---|---|---|
63481712 | Jan 2023 | US |