This application is based on and claims priority under 35 U.S.C. § 119 to Korean Patent Application No. 10-2023-0036158, filed on Mar. 20, 2023, in the Korean Intellectual Property Office, the disclosure of which is incorporated by reference herein in its entirety.
Embodiments are related to predicting reliability properties of an electrical device based on optical data.
A semiconductor process draws circuit patterns on a silicon substrate. As the degree of integration of the semiconductor process increases and the line width becomes finer, the difficulty of production increases. In order to optimize process conditions, it is essential to determine whether or not to improve process conditions by verifying the electrical reliability properties of semiconductor devices before shipment. Thus, if the electrical reliability properties of semiconductor devices can be predicted early to minimize the development period required for optimizing process conditions, productivity can be greatly improved. For this reason, techniques for predicting electrical reliability properties of semiconductor devices are being studied. One of these techniques is a technique of predicting electrical device reliability properties by using optical spectrum data of a pattern transferred to a substrate.
Embodiments provide an electrical reliability properties prediction device and an electrical reliability properties prediction method, whereby defects of a pattern transferred to a substrate may be predicted in advance based on optical data.
In addition, the objective to be solved by embodiments is not limited to the above-mentioned objectives, and other objectives can be clearly understood by those skilled in the art from the description below.
Provided herein is an electrical reliability properties prediction method including generating a plurality of pieces of optical spectrum data of a substrate, performing a wafer level reliability (WLR) process on the substrate, measuring electrical reliability property data based on the WLR process, matching an inspection region to the plurality of pieces of optical spectrum data and the electrical reliability property data based on the plurality of pieces of optical spectrum data and the electrical reliability property data, generating a data set including at least four pieces of optical data among the plurality of pieces of optical spectrum data and the electrical reliability property data, performing data pre-processing on the data set to extract a data sample, training an electrical reliability properties prediction model by using predicted electrical reliability property data as an output value and the data sample, as an input value, acquiring a plurality of pieces of target optical data from a database, and extracting, with respect to the plurality of pieces of target optical data, a feature vector from the plurality of pieces of target optical data by using the electrical reliability properties prediction model, and detecting predicted electrical reliability property data of the plurality of pieces of target optical data based on the feature vector.
Also provided herein is an electrical reliability properties prediction method including requesting optical data from a server, acquiring a spectral image of a substrate based on the requesting, and extracting a plurality of pieces of optical spectrum data from the spectral image, performing a WLR process on the substrate, measuring electrical reliability property data based on the WLR process, matching an inspection region to the plurality of pieces of optical spectrum data and the electrical reliability property data based on the plurality of pieces of optical spectrum data and the electrical reliability property data, generating a data set including at least four pieces of optical data among the plurality of pieces of optical spectrum data and the electrical reliability property data, performing data pre-processing on the data set to obtain a data sample, training an electrical reliability properties prediction model by using predicted electrical reliability property data as an output value and the data sample, as an input value, acquiring a plurality of pieces of target optical data from a database, and extracting, with respect to the plurality of pieces of target optical data, a feature vector from the plurality of pieces of target optical data by using the electrical reliability properties prediction model, and detecting predicted electrical reliability property data of the plurality of pieces of target optical data based on the feature vector, wherein the electrical reliability properties prediction model uses a neural network learning method of Feed-Forward Neural Networks (FFNN).
Also provided herein is an electrical reliability properties prediction device including a substrate inspection device configured to acquire a spectral image of a substrate according to a request from a server and extract a plurality of pieces of optical spectrum data from the spectral image, a database storing a plurality of pieces of target optical data, the plurality of pieces of optical spectrum data, and electrical reliability property data of the substrate, the server storing a program including one or more instructions and executing the one or more instructions of the program, a data matching unit configured to match an inspection region to the plurality of pieces of optical spectrum data and the electrical reliability property data based on the plurality of pieces of optical spectrum data and the electrical reliability property data and generate a data set including at least four pieces of optical data among the plurality of pieces of optical spectrum data and the electrical reliability property data, a data pre-processing unit configured to perform data pre-processing on the data set, and an electrical reliability properties prediction module configured to train an electrical reliability properties prediction model by using predicted electrical reliability property data as an output value and the data set on which the data pre-processing has been performed, as an input value, and predict, based on the plurality of pieces of target optical data, electrical reliability for defects of the substrate.
Embodiments will be more clearly understood from the following detailed description taken in conjunction with the accompanying drawings in which:
Hereinafter, embodiments will be described in detail with reference to the accompanying drawings. The same reference numerals are used for the same components in the drawings, and repeated descriptions thereof are omitted.
Referring to
Spectral ellipsometry is a technique for determining the characteristics of a thin film by comparing changes in polarization before/after interaction with a thin film, such as reflection and transmission, with a model. Here, changes in polarization may be expressed by an amplitude ratio Ψ ′ and a phase difference Δ. An amplitude ratio refers to a ratio of an amplitude of a p-wave to an amplitude of an s-wave when light is reflected from a thin film. A phase difference refers to the difference in phase changes between a p-wave and an s-wave when light is reflected from a thin film. Changes in polarization depend on the type and thickness of materials constituting a thin film, and thus, allow measuring the thickness and optical constants of all types of films in a non-contact manner. Spectral ellipsometry allows high-precision characterization of single atomic layers, and monolayers or multilayers with thicknesses ranging from several angstroms to several micrometers.
As illustrated in
Radiation reflected from the sample may reach a detector after passing through a second polarizer, commonly referred to as an analyzer. Similarly, a second compensator may be arranged in an optical path between the analyzer and the sample.
The spectroscopic ellipsometry is a specular optical inspection method in which the angle of incidence and the angle of reflection are the same, wherein an incident beam and a reflected beam extend over a plane of incidence. Polarization in a direction parallel to the plane of incidence is called p-polarization, and polarization in a direction perpendicular to the p-polarization is called s-polarization.
According to spectral ellipsometry, a complex reflectance ρ, which may be expressed based on the reflection amplitude ratio Ψ and the phase difference Δ, is measured. A polarization state of light incident on the sample may be decomposed into s and p components. Amplitudes of the s and p components after reflection, which are normalized to initial values, are denoted as rs and rp, respectively, below. Here, rs, rp, and the complex reflectance p satisfy Equation 1 below.
The difference between rp and rs may be maximized by selecting an angle of incidence of light to be close to the Brewster angle of the sample. In spectral ellipsometry, a ratio (or difference) between two values is measured, thus providing precise and highly reproducible measurement results. Accordingly, spectral ellipsometry has advantages in that spectral ellipsometry is relatively insensitive to light scattering and variations in inspection conditions and does not require a separate standard sample and reference beam.
Except for exceptionally simple cases such as infinite-thick films or homogeneous films, the measured reflection amplitude ratio Ψ ′ and the measured phase difference Δ cannot be directly converted into optical constants of the sample. Thus, in general, model analysis may be performed to acquire optical constants from the results of spectral ellipsometry. An example of the model is a Forouhi Bloomer model. A model may be based on physical energy transfers or free parameters for data fitting. The model may include a stacking order of layers included in a sample, an optical constant (e.g., a refractive index or dielectric function tensor) of each of individual layers, and a thickness parameter.
In spectral ellipsometry, the reflection amplitude ratio Ψ and the phase difference Δ may be measured using iterations (e.g., method of least squares) for varying optical constants and/or thickness parameters. A Fresnel equation may be used to calculate the reflection amplitude ratio Ψ and the phase difference Δ. When the calculated values of the reflection amplitude ratio Ψ and the phase difference Δ are consistent with experimental data, optical constants and thickness values of thin films corresponding thereto may be determined as optical constants and thicknesses of thin films included in the sample.
Referring to
The substrate S may be disposed on the tray 190. The tray 190 may support and fix the substrate S during a semiconductor process and inspection. The tray 190 may move the substrate S to a required position according to inspection and process execution. A direction perpendicular to an upper surface of the substrate S disposed on the tray 190 is referred to as a Z-direction, and two directions parallel to the upper surface and orthogonal to each other are referred to as a X-direction and a Y-direction. For example, the tray 190 may move the substrate S in the X- and Y-directions so that different portions of the substrate S may be inspected. The tray 190 may move the substrate S in the Z-direction such that an optical system included in the substrate inspection device 100 is focused on the substrate S.
The substrate S may include, for example, silicon (Si). The substrate S may include a semiconductor element such as germanium (Ge) or a compound semiconductor such as silicon carbide (SiC), gallium arsenide (GaAs), indium arsenide (InAs), and indium phosphide (InP). According to some embodiments, the substrate S may have a silicon on insulator (SOI) structure. The substrate S may include a buried oxide layer. According to some embodiments, the substrate S may include a conductive region, for example, a well doped with impurities. According to some embodiments, the substrate S may have various device isolation structures such as shallow trench isolation (STI) that separates the doped wells from each other.
The substrate S may be a wafer on which a semiconductor process for manufacturing semiconductor devices has been performed. A process of forming a semiconductor device may include: i) an oxidation process to form an oxide film; ii) a lithography process including spin coating, exposure, and development; iii) a thin film deposition process; iv) a dry or wet etching process; and v) a metal wiring process or the like.
The oxidation process is a process of forming a thin and homogeneous silicon oxide film by chemically reacting oxygen or water vapor with a surface of a silicon substrate at a high temperature of about 800 degrees to about 1200 degrees. The oxidation process may include dry oxidation and wet oxidation. In dry oxidation, an oxide film may be formed by reacting with oxygen gas, and in wet oxidation, an oxide film may be formed by reacting oxygen with water vapor.
According to some embodiments, an SOI structure may be formed on a substrate by an oxidation process. The substrate may also include a buried oxide layer. According to some embodiments, the substrate may have various device isolation structures such as STI.
The lithography process is a process of transferring a circuit pattern previously formed on a lithography mask, to a substrate through exposure. The lithography process may be performed in the order of spin coating, exposure, and development processes.
The thin film deposition process may be one of, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma-enhanced CVD (PECVD), metal organic CVD (MOCVD), physical vapor deposition (PVD), reactive pulsed laser deposition, molecular beam epitaxy, and direct current (DC) magnetron sputtering.
The dry etching process may be, for example, any one of reactive ion etching (RIE), deep RIE (DRIE), ion beam etching (IBE), and Ar milling. As another example, the dry etching process that may be performed on the substrate S may be atomic layer etching (ALE). In addition, the wet etching process that may be performed on the substrate S may be an etching process in which at least one of Cl2, HCl, CHF3, CH2F2, CH3F, H2, BCL3, SiCl4, Br2, HBr, NF3, CF4, C2F6, C4F8, SF6, O2, SO2, and COS is used as an etchant gas.
The metal wiring process may be a process of forming a conductive wiring (metal line) to implement a circuit pattern for operation of a semiconductor device. Through the metal wiring process, transmission paths of ground, power, and signals for operating semiconductor devices may be formed. A metal wiring may include gold, platinum, silver, aluminum, and tungsten.
According to some embodiments, in the process of forming a semiconductor device, a planarization process such as a chemical mechanical polish (CMP) process, an ion implantation process, and the like may be further performed.
The substrate inspection device 100 may inspect layers and patterns formed on the substrate S by at least one of the processes described above. The substrate inspection device 100 may determine any one of the thickness of a formed layer, a critical dimension of a pattern formed on the layer, the height of a pattern, a recess, an overlay, and a defect. Here, overlay is a quantity indicating the degree of misalignment between the already formed underlying layer and a photoresist pattern.
The light source 110 may generate incident light IL and emit the generated incident light IL toward the substrate S. The incident light IL generated by the light source 110 may be unpolarized light. However, embodiments are not limited thereto, and the incident light IL may be polarized light. The incident light IL may be incident in a direction inclined with respect to the upper surface of the substrate S.
A wavelength of the incident light IL may be in a wavelength band within a set range. According to some embodiments, the incident light IL may be visible light. According to some embodiments, the wavelength of the incident light IL may be about 400 nm to about 800 nm. According to some other embodiments, the incident light IL may be near infrared rays or infrared rays. According to some embodiments, a wavelength band of the incident light IL may be about 800 nm to about 3000 nm. The light source 110 may emit light of a specific wavelength or simultaneously emit light of several wavelengths. The light source 110 may emit light of different wavelengths according to time.
The polarizer 120 may be disposed on a path of the incident light IL between the light source 110 and the substrate S. The polarizer 120 may determine a polarization direction of the incident light IL. The incident light IL that has passed through the polarizer 120 may include only the same component as the polarization direction of the polarizer 120. Accordingly, the incident light IL passing through the polarizer 120 may be linearly polarized. The first compensator 131 may be disposed on a path of the incident light IL between the polarizer 120 and the substrate S.
Reflected light RL reflected by the substrate S may reach the light splitting element 150. The second compensator 132 and the analyzer 140 may be disposed on an optical path between the light splitting element 150 and the substrate S.
In some cases, either of the first and second compensators 131 and 132 may be omitted. The first compensator 131 may adjust the phase difference Δ of the incident light IL. The second compensator 132 may adjust the phase difference Δ of the reflected light RL.
The analyzer 140 may adjust a polarization direction of the reflected light RL reflected from the substrate S. In some cases, an imaging lens may be further disposed on an optical path of the reflected light RL (for example, between the analyzer 140 and the light splitting element 150). The imaging lens may adjust chromatic aberration of the reflected light RL.
At least one of the polarizer 120, the first and second compensators 131 and 132, and the analyzer 140 may be connected to a rotation driver capable of adjusting a polarization direction.
A rotation driver (e.g., a step motor) connected to at least one of the polarizer 120, the first and second compensators 131 and 132, and the analyzer 140 may be connected to the controller 170. The rotation driver may be controlled by the controller 170. Accordingly, rotation of at least one of the polarizer 120, the first and second compensators 131 and 132, and the analyzer 140 may be controlled by the controller 170 at a set speed.
Light passing through the analyzer 140 may reach the light splitting element 150. The light splitting element 150 may be a prism formed by processing a translucent material such as quartz. The light splitting element 150 may split the reflected light RL of a single path into a plurality of paths. The light splitting element 150 may generate first split light SL1 and second split light SL2.
The first split light SL1 and second split light SL2 split by the light splitting element 150 may reach the first and second detectors 161 and 162, respectively. The first and second detectors 161 and 162 may be any one of a charge-coupled device (CCD) camera and a complementary metal-oxide-semiconductor (CMOS) image sensor. According to some embodiments, the first and second detectors 161 and 162 may be, for example, spectral imaging cameras but are not limited thereto. The first and second detectors 161 and 162 may detect a spectral image of portions por of the substrate S. Signals generated by the first and second detectors 161 and 162 may be transferred to the processor 180. The size ratio between the first split light SL1 and second split light SL2 may be 5:5 but is not limited thereto.
Thus, the first and second detectors 161 and 162 may respectively detect the first split light SL1 and second split light SL2 split from the reflected light RL reflected on the same portion por on the substrate S.
The first and second detectors 161 and 162 may generate electrical signals (e.g., spectral images) based on detection results of the first split light SL1 and second split light SL2. Methods whereby the first and second detectors 161 and 162 generate electrical signals (e.g., spectral images) may be different from each other. The first detector 161 may be a chopping mode detector. The second detector 162 may be a continuous mode detector. The first detector 161 may generate an electrical signal (e.g., a spectral image) corresponding to a certain point in time (i.e., a very short time section) or a certain rotation angle. The first detector 161 may generate a section average of electrical signals (e.g., spectral images) corresponding to a certain continuous time section or a certain rotation angle range.
Points in time at which the first and second detectors 161 and 162 sample the reflected light RL may be different from each other. In other words, the first and second detectors 161 and 162 may receive the first split light SL1 and second split light SL2 split from the reflected light RL reflected by the same portion por on the substrate S and generate a signal based on light arriving at different points in time. Also, the first and second detectors 161 and 162 may be connected to a certain driving device. Accordingly, the first and second detectors 161 and 162 may move along optical paths of the first split light SL1 and second split light SL2, respectively.
According to some embodiments, the processor 180 may include at least one of a data analyzer and an optical critical dimension (OCD) instrument including a spectrum recognition algorithm. Here, the OCD instrument may be a device for extracting physical parameters of the substrate S from spectrum data. The spectral recognition algorithm of the OCD instrument may use a rigorous coupled-wave analysis (RCWA) algorithm. The RCWA algorithm may be usefully used to describe the diffraction or reflection of electromagnetic waves from a surface of a grating structure. However, embodiments are not limited thereto, and to monitor the profile change tendency in the substrate S, the processor 180 may use any one of a spectral image spectral ellipsometric polarization analysis algorithm and a multi-point high-speed measurement spectral ellipsometric polarization analysis algorithm. Also, the processor 180 may use a variable separation algorithm such as a correlation analysis algorithm, a principal component analysis algorithm, or a rank test for extracting a profile change value from multiple spectra.
According to some embodiments, the processor 180 may determine a first angle θ1 or a first time t1 of the first detector 161 that reacts most sensitively to a measurement variable to be measured by the substrate inspection device 100. According to some embodiments, the processor 180 may determine a measurement angle range or a first time section of the second detector 162 that reacts most sensitively to a measurement variable to be measured by the substrate inspection device 100.
Referring to
The substrate inspection device 100 may transmit spectrum data acquired by inspecting a substrate to the server 20. The server 20 may receive spectrum data from the substrate inspection device 100 and store the same in the database 10. The server 20 may include various integrated circuit components, software elements, such as memory elements, processing elements, logic elements, and lookup elements, that may perform various functions under the control by one or more microprocessors or other control devices.
The software elements of the server 20 may be implemented with any programming or scripting language such as C, C++, C#, Java, JavaScript, JavaScript Object Notation (JSON), VBScript, Macromedia Cold Fusion, COBOL, Active Server Pages, Perl, Assembly, PHP, awk, Python, Visual Basic, SQL Stored Procedures, PL/SQL, any Unix shell script, and/or any extensible markup language (XML), with the various algorithms being implemented with any combination of data structures, objects, processes, routines, or other programming elements. The server 20 may perform various calculations by using spectrum data.
The data matching unit 30 may match an inspection region based on a plurality of pieces of optical spectrum data and electrical reliability property data and generate a data set including at least four pieces of optical data among the plurality of pieces of optical spectrum data and electrical reliability property data.
The data pre-processing unit 40 may perform data pre-processing on a data set. In detail, data pre-processing performed on a data set may refer to data processing of classifying the data set into a learning data set, a verification data set, and a test data set.
The electrical reliability properties prediction module 50 may include an electrical reliability properties prediction model. The electrical reliability properties prediction module 50 may train the electrical reliability properties prediction model by using predicted electrical reliability property data as an output value and the data set on which the data pre-processing has been performed, as an input value, and may predict, based on a plurality of pieces of target optical data, electrical reliability properties of defects of the substrate. Here, the electrical reliability properties prediction model of the electrical reliability properties prediction module 50 may extract feature vectors from the plurality of pieces of target optical data and detect predicted electrical reliability property data of the plurality of pieces of target optical data based on the feature vectors. Electrical reliability properties of defects of the substrate may be predicted based on the predicted electrical reliability property data. The plurality of pieces of target optical data may be spectrum data, such as the plurality of pieces of optical spectrum data.
In exemplary embodiments of the present invention, reliability properties may include whether a semiconductor device exhibits defects and its operational lifespan. Typically, Vramp or TDDB (time dependent dielectric breakdown) measurements of semiconductor devices are conducted to assess their electrical reliability properties. For instance, the Vramp test typically involves subjecting a semiconductor device to a specific voltage variation and subsequently measuring its current or other electrical parameters. Through the Vramp test, the reliability of semiconductor devices, including defect detection and lifespan estimation, can be effectively evaluated.
Referring to
In the electrical reliability properties prediction method according to some embodiments, first, a plurality of pieces of optical spectrum data of a substrate may be generated in operation P110. At the request of the server 20, the substrate inspection device 100 may generate a plurality of pieces of optical spectrum data. The substrate inspection device 100 may transmit the generated plurality of pieces of optical spectrum data to the server 20 and the database 10. The database 10 may store the generated plurality of pieces of optical spectrum data.
After generating the plurality of pieces of optical spectrum data, a wafer level reliability (WLR) process may be performed on the substrate in operation P120. The WLR process may be a test process for selecting a good product or a defective product by examining electrical reliability properties of the substrate. Here, electrical reliability property data of the substrate may be measured based on the WLR process in operation P130. The electrical reliability property data may be data indicating whether or not the substrate has electrical defects in shot units. Here, the database 10 may store the electrical reliability property data. After that, operations P140 to P180 will be described with reference to the remaining drawings.
Referring to
In embodiments, the first point in time may be any one point in time during a semiconductor process of forming an active region in the substrate 200A; the second point in time may be any one point in time during a semiconductor process of forming a dummy gate in the substrate 200D; the third point in time may be any one point in a semiconductor process of forming a source region and/or a drain region; the fourth point in time may be any one point in time of a semiconductor process of forming a contact between a front end of line (FEOL) structure and a back end of line (BEOL) structure. Here, the plurality of pieces of optical spectrum data may include a plurality of pieces of optical spectrum data measured at different points in time (e.g., the first to fourth points in time).
After measuring the electrical reliability property data, the data matching unit 30 may match an inspection region to the plurality of pieces of optical spectrum data and the electrical reliability property data based on the plurality of pieces of optical spectrum data and the electrical reliability property data in operation P140. In detail, in the matching of the inspection region, first, an overlapping region between the first to fourth measurement regions of the plurality of pieces of optical spectrum data and the fifth measurement region of the electrical reliability property data may be determined. In operation P140, at least four of the plurality of pieces of optical spectrum data may be used. A reference measurement region here may be the fifth measurement region of the electrical reliability property data. Next, in the matching of the inspection region, the plurality of pieces of optical spectrum data and the electrical reliability property data may be extracted based on the overlapping region. In detail, based on the overlapping region, except for some pieces of optical spectrum data of some measurement regions among the plurality of pieces of optical spectrum data, pieces of optical spectrum data of the remaining measurement regions among the plurality of pieces of optical spectrum data may be matched to the electrical reliability property data.
The data matching unit 30 may determine the measurement size of each of measurement regions of the plurality of pieces of optical spectrum data and a measurement region of the electrical reliability property data and/or the number of measurement regions based on the overlapping region. Also, the data matching unit 30 may match a substrate map corresponding to the inspection region to the plurality of pieces of optical spectrum data and the electrical reliability property data. That is, the plurality of pieces of optical spectrum data, the electrical reliability property data, and the substrate map corresponding to the inspection region may be matched to one another and stored. A data set to be described below may include the substrate map corresponding to the inspection region, and the electrical reliability properties prediction module 50 may predict electrical reliability property data based on the data set including the substrate map corresponding to the inspection region.
Referring to
The spectral data presented in
Referring to
Referring to
The electrical reliability properties prediction model may include an input layer 410 with input 401, a plurality of hidden layers 420, and an output layer 430. The input layer 410 may receive input data (e.g., a data set on which data pre-processing is performed) as an input value. The plurality of hidden layers 420 are layers positioned between the input layer 410 and the output layer 430 and may be composed of a series of neurons. Each neuron may accept an input value and output a result of applying an activation function. The activation function may adjust an output value of the neuron. The output layer 430 may be a layer that outputs a final output value 403. The output layer 430 may output predicted electrical reliability property data and/or target predicted electrical reliability property data. Weights and biases of the electrical reliability properties prediction model may be adjusted based on a backpropagation algorithm.
In detail, training may be performed based on the classified learning data set, the electrical reliability properties prediction model on which the training has been performed may be verified using the verification data set, and the electrical reliability properties prediction model may be evaluated based on the test data set.
Referring to
Through the server 20, the electrical reliability properties prediction module 50 may acquire a plurality of pieces of target optical data from the database in operation P180. The plurality of pieces of target optical data may include optical spectrum data of the substrate before the WLR process is performed. Here, the plurality of pieces of target optical data may be acquired, and a target data set including at least four pieces of target optical data among the plurality of pieces of target optical data may be generated.
After acquiring the plurality of pieces of target optical data, by using the electrical reliability properties prediction module 50, a feature vector may be extracted from the plurality of pieces of target optical data using the electrical reliability properties prediction model, with respect to the plurality of pieces of target optical data. Here, the feature vector may refer to features extracted to represent a plurality of pieces of target optical data and made into a single vector. Also, predicted electrical reliability property data of the plurality of pieces of target optical data may be detected based on the feature vector by the electrical reliability properties prediction module 50 in operation P190. The electrical reliability properties prediction model may receive the plurality of pieces of target optical data as inputs and perform learning by passing through several layers of an artificial neural network, and finally predict predicted electrical reliability property data for the plurality of pieces of target optical data. Accordingly, electrical reliability properties of defects of patterns formed in a substrate may be predicted before performing an electrical reliability property test such as a WLR process. Reliability of a semiconductor device may be improved by predicting electrical reliability properties of substrate defects in advance.
Typically, the reliability properties of semiconductor components are determined by directly measuring Vramp after the completion of the semiconductor fabrication process. Typically, the reliability properties of semiconductor components can be assessed after their fabrication is complete. Therefore, if improvements in semiconductor components are made based on the results of these typical reliability properties measurements, delays in semiconductor process development may occur because improvements are made after the semiconductor components are completed.
One exemplary embodiment of the present invention, an electrical reliability prediction method, predicts information about electrical reliability properties from optical spectrum data obtained as each stage of the semiconductor fabrication process is completed. Therefore, compared to the improvement process after typical measurement of the reliability properties of semiconductor components, according to one exemplary embodiment of the present invention, electrical reliability prediction methods allow for prediction of electrical outdoor characteristics before semiconductor components are completed. For example, as electrical reliability properties prediction can be made approximately two months earlier than with typical methods, improvements in processes can be facilitated earlier by one exemplary embodiment of the present invention, compared to typical methods.
Various changes in form and details may be made therein without departing from the spirit and scope of the following claims.
Number | Date | Country | Kind |
---|---|---|---|
10-2023-0036158 | Mar 2023 | KR | national |