High pressure annealing process for metal containing materials

Information

  • Patent Grant
  • 11881411
  • Patent Number
    11,881,411
  • Date Filed
    Tuesday, May 4, 2021
    3 years ago
  • Date Issued
    Tuesday, January 23, 2024
    3 months ago
Abstract
The present disclosure provides methods for performing an annealing process on a metal containing layer in TFT display applications, semiconductor or memory applications. In one example, a method of forming a metal containing layer on a substrate includes supplying an oxygen containing gas mixture on a substrate in a processing chamber, the substrate comprising a metal containing layer disposed on an optically transparent substrate, maintaining the oxygen containing gas mixture in the processing chamber at a process pressure between about 2 bar and about 50 bar, and thermally annealing the metal containing layer in the presence of the oxygen containing gas mixture.
Description
BACKGROUND
Field

Embodiments of the present invention generally relate to a method for forming a metal containing layer in a film stack that may be utilized in TFT device structures, semiconductor, or memory applications.


Description of the Related Art

Display devices have been widely used for a wide range of electronic applications, such as TV, monitors, mobile phone, MP3 players, e-book readers, and personal digital assistants (PDAs) and the like. The display device is generally designed for producing desired image by applying an electric field to a liquid crystal that fills a gap between two substrates and has anisotropic dielectric constant that controls the intensity of the dielectric field. By adjusting the amount of light transmitted through the substrates, the light and image intensity, quality and power consumption may be efficiently controlled.


A variety of different display devices, such as active matrix liquid crystal display (AMLCD) or an active matrix organic light emitting diodes (AMOLED), may be employed as light sources for display devices which utilize touch screen panels. In the manufacturing of TFT devices, an electronic device with high electron mobility, low leakage current and high breakdown voltage, would allow more pixel area for light transmission and integration of circuitry, thus resulting in a brighter display, higher overall electrical efficiency, faster response time and higher resolution displays. Low film qualities of the material layers, such as metal electrode layer with impurities, formed in the device often result in poor device electrical performance and short service life of the devices. Thus, a stable and reliable method for forming and integrating film layers with in a TFT devices becomes crucial to provide a device structure with low film leakage, and high breakdown voltage, for use in manufacturing electronic devices with lower threshold voltage shift and improved the overall performance of the electronic device are desired.


Therefore, there is a need for improved materials for manufacturing TFT devices that produce improved device electrical performance and device stability.


SUMMARY

The present disclosure provides methods for performing an annealing process on a metal containing layer in TFT display applications, semiconductor or resistive random access memory (ReRAM). In one example, a method of forming a metal containing layer on a substrate includes supplying an oxygen containing gas mixture on a substrate in a processing chamber, the substrate comprising a metal containing layer disposed on an optically transparent substrate, maintaining the oxygen containing gas mixture in the processing chamber at a process pressure between 2 bar and 50 bar, and thermally annealing the metal containing layer in the presence of the oxygen containing gas mixture.


In another example, a method for densifying a metal containing layer disposed on a substrate includes thermally treating a metal containing layer disposed on an optically transparent substrate at a pressure greater than 2 bar, and maintaining a substrate temperature less than 500 degrees Celsius during thermally treating the dielectric layer.


In yet another example, a method for densifying a metal containing layer disposed on a substrate includes forming a metal containing layer on a substrate, implanting dopants into the metal containing layer and thermally treating the metal containing layer on the substrate at a pressure greater than 2 bar while maintaining the substrate temperature less than 500 degrees Celsius.





DETAILED DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.



FIG. 1 is a simplified front cross-sectional view of a processing chamber with a cassette disposed therein in accordance with some embodiments;



FIG. 2 is a cluster system that the processing chamber of FIG. 1 may be incorporated thereto;



FIG. 3 is a sectional view of one example of a thin film transistor device structure; and



FIG. 4 is a sectional view of another example of a thin film transistor device structure.



FIG. 5 depicts a flow diagram of an annealing process performed in a metal containing material in accordance with some embodiments;



FIGS. 6A-6D depict one embodiment of a sequence for thermal annealing the metal containing material of FIG. 5 in accordance with some embodiments.





To facilitate understanding, identical reference numerals have been used, such as anneal at atmospheric pressure with similar anneal conditions where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.


It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.


DETAILED DESCRIPTION

Embodiments of the disclosure generally provide a TFT device structures and methods of performing a thermal annealing process on an active layer of source, drain, interface and contact regions in the TFT device structures so as to enhance electrical performance for display devices. Other applications, including semiconductor or memory device manufacturing process, may also utilize the embodiments described herein. The thermal annealing process may densify the active layer film properties of the metal electrodes, source/drain and/or contact regions, and/or film layers on the substrate. In one example, the thermal treatment process is performed to provide thermal energy of a metal containing layer, such as a metal containing layer (e.g., an active layer) or a metal electrode layer (e.g., a metal gate electrode, a source-drain and/or contact regions or electrode layers, or other suitable metal structures) is formed in the TFT devices. The thermal annealing process may densify or minimize oxygen deficiency in the active layer film structures to provide good quality, interface management and thermal stabilization to the device structure. The thermal annealing process may also increase the degree of crystalline of the metal containing material, improving electrical performance of the metal containing layer. Thus, desired film properties of metal electrodes and active layers of source/drain and/or contact regions in display devices may efficiently enhance the electrical performance of transistor and diode devices.



FIG. 1 is a simplified front cross-sectional view of a single-substrate processing chamber 100 for a high-pressure annealing process of a single substrate. The single-substrate processing chamber 100 has a body 110 with an outer surface 112 and an inner surface 113 that encloses an internal volume 115. In some embodiments such as in FIG. 1, the body 110 has an annular cross section, though in other embodiments the cross-section of the body 110 may be rectangular or any closed shape. The outer surface 112 of the body 110 may be made from a corrosion resistant steel (CRS), such as but not limited to stainless steel. One or more heat shields 125 are disposed on the inner surface 113 of the body 110 that prevents heat loss from the single-substrate processing chamber 100 into the outside environment. The inner surface 113 of the body 110 as well as the heat shields 125 may be made from nickel-based steel alloys that exhibit high resistance to corrosion, such as but not limited to HASTELLOY®, ICONEL®, and MONEL®.


A substrate support 130 is disposed within the internal volume 115. The substrate support 130 has a stem 134 and a substrate-supporting member 132 held by the stem 134. The stem 134 passes through a passage 122 formed through the chamber body 110. A rod 139 connected to an actuator 138 passes through a second passage 123 formed through the chamber body 110. The rod 139 is coupled to a plate 135 having an aperture 136 accommodating the stem 134 of the substrate support 130. Lift pins 137 are connected to the substrate-supporting member 132. The actuator 138 actuates the rod 139 such that the plate 135 is moved up or down to connect and disconnect with the lift pins 137. As the lift pins 137 are raised or lowered, the substrate-supporting member 132 is raised or lowered within the internal volume 115 of the chamber 100. The substrate-supporting member 132 has a resistive heating element 131 embedded centrally within. A power source 133 is configured to electrically power the resistive heating element 131. The operation of the power source 133 as well as the actuator 138 is controlled by a controller 180.


The single-substrate processing chamber 100 has an opening 111 on the body 110 through which one or more substrates 120 can be loaded and unloaded to and from the substrate support 130 disposed in the internal volume 115. The opening 111 forms a tunnel 121 on the body 110. A slit valve 128 is configured to sealably close the tunnel 121 such that the opening 111 and the internal volume 115 can only be accessed when the slit valve 128 is open. A high-pressure seal 127 is utilized to seal the slit valve 128 to the body 110 in order to seal the internal volume 115 for processing. The high-pressure seal 127 may be made from a polymer, for example a fluoropolymer, such as but not limited to a perfluoroelastomer and polytetrafluoroethylene (PTFE). The high-pressure seal 127 may further include a spring member for biasing the seal to improve seal performance. A cooling channel 124 is disposed on the tunnel 121 adjacent to the high-pressure seals 127 in order to maintain the high-pressure seals 127 below the maximum safe-operating temperature of the high-pressure seals 127 during processing. A cooling agent from a cooling fluid source 126, such as but not limited to an inert, dielectric, and high-performance heat transfer fluid, may be circulated within the cooling channel 124. The flow of the cooling agent from the cooling fluid source 126 is controlled by the controller 180 through feedback received from a temperature sensor 116 or a flow sensor (not shown). An annular-shaped thermal choke 129 is formed around the tunnel 221 to prevent the flow of heat from the internal volume 115 through the opening 111 when the slit valve 128 is open.


The single-substrate processing chamber 100 has a port 117 through the body 110, which is fluidly connected to a fluid circuit 190 connecting the gas panel 150, the condenser 160 and the port 117. The fluid circuit 190 has a gas conduit 192, a source conduit 157, an inlet isolation valve 155, an exhaust conduit 163, and an outlet isolation valve 165. A number of heaters 196, 158, 152, 154, 164, 166 are interfaced with different portions of the fluid circuit 190. A number of temperature sensors 151, 153, 119, 167 and 169 are also placed at different portions of the fluid circuit 190 to take temperature measurements and send the information to the controller 180. The controller 180 uses the temperature measurement information to control the operation of the heaters 152, 154, 158, 196, 164, and 166 such that the temperature of the fluid circuit 190 is maintained at a temperature above the condensation point of the processing fluid disposed in the fluid circuit 190 and the internal volume 115.


The gas panel 150 is configured to provide a processing fluid under pressure the internal volume 115. The pressure of the processing fluid introduced into the internal volume 115 is monitored by a pressure sensor 114 coupled to the body 110. The condenser 160 is fluidly coupled to a cooling fluid source (not shown) and configured to condense the gas phase processing fluid exiting the internal volume 115 through the gas conduit 192. The condensed processing fluid is then removed by the pump 176. One or more heaters 140 are disposed on the body 110 and configured to heat the internal volume 115 within the single-substrate processing chamber 100. The heaters 140, 152, 154, 158, 196, 164, and 166 maintain the processing fluid within the fluid circuit 190 in a gaseous phase while the outlet isolation valve 165 to the condenser 160 is open to prevent condensation within the fluid circuit.


The controller 180 controls the operation of the single-substrate processing chamber 100. The controller 180 controls the operation of the gas panel 150, the condenser 160, the pump 170, the inlet isolation valve 155, the outlet isolation valve 165, the power sources 133 and 145. The controller 180 is also communicatively connected to the temperature sensor 116, the pressure sensor 114, the actuator 138, the cooling fluid source 126 and the temperature reading devices 156 and 162.


The processing fluid may comprise an oxygen-containing and/or nitrogen-containing gas, and/or chalcogen or tellurium (such as S, Se, Te) gases or vapors such as oxygen, dry steam, water, hydrogen peroxide, ammonia, S vapor, Se vapor, H2S, H2Se, etc. The processing fluid may be reacted with the metal materials on the substrate to form metal oxynidrids, metal oxides, metal oxychalcogenides or metal chalcogenides. Alternatively or in addition to the oxygen-containing and/or nitrogen-containing gases, the processing fluid may comprise a silicon-containing gas. Examples of the silicon-containing gas include organosilicon, tetraalkyl orthosilicate gases and disiloxane. Organosilicon gases include gases of organic compounds having at least one carbon-silicon bond. Tetraalkyl orthosilicate gases include gases consisting of four alkyl groups attached to an SiO44− ion. More particularly, the one or more gases may be (dimethylsilyl)(trimethylsilyl)methane ((Me)3SiCH2SiH(Me)2), hexamethyldisilane ((Me)3SiSi(Me)3), trimethylsilane ((Me)3SiH), trimethylsilylchloride ((Me)3SiCl), tetramethylsilane ((Me)4Si), tetraethoxysilane ((EtO)4Si), tetramethoxysilane ((MeO)4Si), tetrakis-(trimethylsilyl)silane ((Me3Si)4Si), (dimethylamino)dimethyl-silane ((Me2N)SiHMe2) dimethyldiethoxysilane ((EtO)2Si(Me)2), dimethyl-dimethoxysilane ((MeO)2Si(Me)2), methyltrimethoxysilane ((MeO)3Si(Me)), dimethoxytetramethyl-disiloxane (((Me)2Si(OMe))2O), tris(dimethylamino)silane ((Me2N)3SiH), bis(dimethylamino)methylsilane ((Me2N)2CH3SiH), disiloxane ((SiH3)2O), and combinations thereof.


During processing of the substrates 120, an environment of the high-pressure region 115 is maintained at a temperature and pressure that maintains the processing fluid within the high-pressure region in a vapor phase. Such pressure and temperature is selected based on the composition of the processing fluid. In the case of steam, the temperature and pressure is held at a condition that maintains the steam in a dry steam state. In one example, high-pressure region 115 is pressurized to a pressure greater than atmosphere, for example greater than about 2 bars. In another example, high-pressure region 115 is pressurized to a pressure from between about 10 and about 50 bars, such as from between about 20 and about 50 bars. In another example, the high-pressure region 115 is pressurized to a pressure up to about 100 bars. During processing, the high-pressure region 115 is also maintained at a high temperature, for example, a temperature exceeding 225 degrees Celsius (limited by the thermal budget of the substrates 155 disposed on the cassette 150), such as between about 300 degrees Celsius and about 500 degrees Celsius.



FIG. 2 is a schematic, top plan view of an exemplary processing system 200 that includes one or more of the processing chambers, such as the processing chamber 100 illustrated in FIG. 1, that are incorporated and integrated therein. In one embodiment, the processing system 200 may be a Centura® or Endura® integrated processing system, commercially available from Applied Materials, Inc., located in Santa Clara, California. It is contemplated that other processing systems (including those from other manufacturers) may be adapted to benefit from the disclosure.


The system 200 includes a vacuum-tight processing platform 204, a factory interface 202, and a system controller 244. The platform 204 includes a plurality of processing chambers 100, 212, 232, 228, 220, such as the one of the processing chamber 100 depicted in FIG. 1, and at least one load-lock chamber 222 that is coupled to a vacuum substrate transfer chamber 236. Two load lock chambers 222 are shown in FIG. 2. The factory interface 202 is coupled to the transfer chamber 236 by the load lock chambers 222.


In one embodiment, the factory interface 202 comprises at least one docking station 208 and at least one factory interface robot 214 to facilitate transfer of substrates. The docking station 208 is configured to accept one or more front opening unified pod (FOUP). Two FOUPS 206A-B are shown in the embodiment of FIG. 2. The factory interface robot 214 having a blade 216 disposed on one end of the robot 214 is configured to transfer the substrate from the factory interface 202 to the processing platform 204 for processing through the load lock chambers 222. Optionally, one or more metrology stations 518 may be connected to a terminal 226 of the factory interface 202 to facilitate measurement of the substrate from the FOUPS 206A-B.


Each of the load lock chambers 222 have a first port coupled to the factory interface 202 and a second port coupled to the transfer chamber 236. The load lock chambers 222 are coupled to a pressure control system (not shown) which pumps down and vents the load lock chambers 222 to facilitate passing the substrate between the vacuum environment of the transfer chamber 236 and the substantially ambient (e.g., atmospheric) environment of the factory interface 202.


The transfer chamber 236 has a vacuum robot 230 disposed therein. The vacuum robot 230 has a blade 234 capable of transferring substrates 224 among the load lock chambers 222, the metrology system 210 and the processing chambers 212, 232, 228, 220.


In one embodiment of the system 200, the system 200 may include one or more processing chambers 100, 212, 232, 228, 220, which may be a annealing chamber (e.g., high pressure annealing chamber, RTP chamber, laser anneal chamber), deposition chamber, etch chamber, cleaning chamber, curing chamber, or other similar type of semiconductor processing chambers. In some embodiments of the system 200, one or more of processing chambers 100, 212, 232, 228, 220, the transfer chamber 236, the factory interface 202 and/or at least one of the load lock chambers 222.


The system controller 244 is coupled to the processing system 200. The system controller 244, which may include the computing device 201 or be included within the computing device 201, controls the operation of the processing system 200 using a direct control of the process chambers 100, 212, 232, 228, 220 of the system 200. Alternatively, the system controller 244 may control the computers (or controllers) associated with the process chambers 100, 212, 232, 228 and the system 200. In operation, the system controller 244 also enables data collection and feedback from the respective chambers to optimize performance of the system 200.


The system controller 244, much like the computing device 201 described above, generally includes a central processing unit (CPU) 238, a memory 240, and support circuit 242. The CPU 238 may be one of any form of a general purpose computer processor that can be used in an industrial setting. The support circuits 242 are conventionally coupled to the CPU 238 and may comprise cache, clock circuits, input/output subsystems, power supplies, and the like. The software routines transform the CPU 238 into a specific purpose computer (controller) 244. The software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the system 200.



FIG. 3 depicts an example of a TFT device 350 that include a metal containing layer that may undergo a thermal annealing process in a high pressure annealing chamber, such as the high pressure annealing process 100 depicted in FIG. 1, which may be incorporated into the system 200 depicted in FIG. 2. The thin film transistor device structure 350 is a bottom gate TFT structure disposed on a substrate 301. It is noted that the substrate 301 may have different combination of films, structures or layers previously formed thereon to facilitate forming different device structures or different film stack on the substrate 301. In one example, the substrate 301 may have the device structure 350 formed thereon, as shown in FIG. 3. Alternatively, the substrate 301 may have another device structure 450 disposed thereon, as further shown in FIG. 4, which will be further described below. The substrate 301 may be any one of glass substrate, plastic substrate, polymer substrate, metal substrate, singled substrate, roll-to-roll substrate, or other suitable transparent substrate suitable for forming a thin film transistor thereon.


A gate electrode layer 302 is formed and patterned on the substrate 301 followed by a gate insulator layer 304. In one embodiment, the gate electrode layer 302 may be fabricated from any suitable metallic material, such as aluminum (Al), tungsten (W), chromium (Cr), tantalum (Ta), molybdenum (Mo), copper (Cu) or combination thereof. Suitable materials for the gate insulator layer 304 include silicon oxide (SiO2), silicon oxynitride (SiON), silicon nitride (SiN), and the like. It is noted that the thin film transistor device structure 350 depicted in FIG. 3 is a bottom gate device structure with the gate electrode layer 302 formed on a bottom of the device structure 350.


An active layer 306 is formed on the gate insulator layer 304. The material utilized for the active layer 306 may be selected from a transparent metallic oxide material that has high electron mobility that is suitable low temperature manufacturing that allows flexible substrate materials, such as plastic materials, to be processed at a low temperature without substrate damage. Suitable examples of materials that can be utilized for the active layer 306 include a-IGZO (amorphous indium gallium zinc oxide), InGaZnON, ZnO, ZnON, ZnSnO, CdSnO, GaSnO, TiSnO, CuBO2, CuAlO2, CuGaO2, SrCuO, LaCuOS, GaN, InGaN, AlGaN or InGaAlN, among others.


After formation of the active layer 306, a barrier layer 308 may be formed on the active layer 306. The barrier layer 308 may be formed from a metal containing material so as to provide a good interface adhesion as well as good barrier properties (e.g., diffusion barrier) to a metal electrode layer 310 (e.g., for a source-drain electrode) subsequently formed thereon. The barrier layer 308 may be patterned to form a desired pattern on the active layer 306 to facilitate transfer of features on the film layers disposed on the substrate 301 in the subsequent etching processes. Although the barrier layer 308 as depicted in FIG. 3 is patterned to a desired pattern, it is noted that the barrier layer 308 could be in any form, including a whole continuous blank film in the device structure 350 or any different features as needed as long as the barrier layer 308 may efficiently provide a blocking/diffusion barrier property to prevent elements from the active layer 306 diffusing into the metal electrode layer 310, or vice versa. In one embodiment, the barrier layer 308 may be a single layer of a metal containing dielectric layer, as depicted in FIG. 3, fabricated by a metal dielectric layer, such as Ta2O5 or TiO2, or any suitable metal dielectric layer as needed. In another embodiment, the barrier layer 308 may be in form of a composite film as needed.


After the metal electrode layer 310, such as a source-drain metal electrode layer, is disposed over the barrier layer 308, subsequently, an etching process is performed to form a channel 320 in the metal electrode layer 310. After etching, an insulating material layer 314, such as a passivation layer, is then formed over the metal electrode layer 310 to complete the process of forming the thin film transistor device structure 350.


In one embodiment, examples of materials that may be used as the metal electrode layer 310 include copper (Cu), gold, silver (Ag), aluminum (Al), tungsten (W), molybdenum (Mo), chromium (Cr), tantalum (Ta), alloys thereof and combination thereof. Suitable of materials that may be used as the insulating material layer 314 include silicon oxide (SiO2), silicon oxynitride (SiON), or silicon nitride (SiN), and the like.



FIG. 4 depicts an example of a top gate low temperature polysilicon (LTPS) TFT device structure 450 may be formed on the substrate 301, rather than the bottom gate device structure 350 depicted in FIG. 3. The LTPS TFT devices 450 are MOS devices built with active layers 452 including a source region 409a, channel region 408, and drain region 409b (e.g., or called metal contact regions, or source-drain metal contacts) formed on the optically transparent substrate 301 with or without an optional insulating layer 404 disposed thereon. In one example, the active layer including the source region 409a, channel region 408, and drain region 409b may be fabricated from a transparent metal containing layer, such as metallic oxide material, that has high electron mobility that is suitable low temperature manufacturing that allows flexible substrate materials, such as plastic materials, to be processed at a low temperature without substrate damage. Suitable examples of such materials that can be utilized for the source region 409a, channel region 408, and drain region 409b include a-IGZO (amorphous indium gallium zinc oxide), doped IGZO, InGaZnON, ZnO, ZnON, ZnSnO, CdSnO, GaSnO, TiSnO, CuBO2, CuAlO2, CuGaO2, SrCuO, LaCuOS, GaN, InGaN, AlGaN or InGaAlN, among others.


A gate insulating layer 406 is then deposited on top of the deposited polysilicon layer(s) to isolate a barrier layer 411 and a metal electrode layer 414, such as a gate electrode, disposed thereon from the channel region 408, source region 409a and drain region 409b. The barrier layer 411 may be formed from a metal containing material so as to provide a good interface adhesion as well as good barrier properties (e.g., diffusion barrier) to the metal electrode layer 414 (e.g., the gate electrode) subsequently formed thereon. The barrier layer 411 may be patterned to form a desired pattern on the gate insulating layer 406 to facilitate transfer of features on the film layers disposed on the substrate 102 in the subsequent etching processes. The barrier layer 411 may efficiently provide a blocking/diffusion barrier property to prevent elements from the gate insulating layer 406 diffusing into the metal electrode layer 414, or vice versa. In one embodiment, the barrier layer 411 may be a single layer of a metal containing dielectric layer, as depicted in FIG. 4, fabricated by a metal dielectric layer, such as Ta2O5 or TiO2, or any suitable metal dielectric layer as needed. In another embodiment, the barrier layer 411 may be in form of a composite film as needed.


The gate electrode layer 414 is formed on top of the gate insulating layer 406 with the barrier layer 411 interposed therebetween. The gate insulating layer 406 is also commonly known as a gate oxide layer since it is commonly made of a silicon dioxide (SiO2) layer. An insulating material layer 412, such as an interlayer insulator, and device connections (not shown) are then made through the insulating material layer 412 to allow control of the TFT devices.


After the insulating material layer 412 is formed, a source-drain metal electrode layer 410a, 410b is then deposited, formed and patterned in the insulating material layer 412. After the source-drain metal electrode layer 410a, 410b is patterned, a passivation layer 418 is then formed over the source-drain metal electrode layer 410a, 410b.



FIG. 5 depicts a flow diagram of one example of a thermal annealing process 500 performed on a metal containing layer, such as the active layers 306, 452 in FIGS. 3-4, or the metal electrodes 302, 310, 410a, 410b, 414 in FIGS. 3-4 respectively.


The method 500 begins at operation 502 by providing a substrate, such as the substrate 301 in FIGS. 3 and 4. The substrate 301 may be an optical transparent substrate. The substrate 301 may comprise a material layer 601 disposed thereon, as shown in FIG. 6A. The material layer 601 may be a single layer or multiple layers that may be utilized to form a TFT device structure. Alternatively, the material layer 601 may be a structure may include multiple materials that may be utilized to form a TFT device structure.


The substrate 301 further includes a metal containing layer 602 formed on the material layer 601, as shown in FIG. 6B. In the examples wherein the material layer 601 is not present, the metal containing layer 602 may be directly formed on the substrate 301. In some examples, the metal containing layer 602 may be used as the active layers 306, 452 in FIG. 3 or 4, or the metal electrodes 302, 310, 410a, 410b, 414 in FIGS. 3-4. In an example, the metal containing layer 602 is a metal oxide layer selected from a group consisting of a-IGZO (amorphous indium gallium zinc oxide), doped IGZO, InGaZnON, ZnO, ZnON, ZnSnO, CdSnO, GaSnO, TiSnO, CuBO2, CuAlO2, CuGaO2, SrCuO, LaCuOS, GaN, InGaN, AlGaN or InGaAlN and the like. In one example, the metal containing layer 602 is a IGZO or doped IGZO layer. Alternatively, the metal containing layer 602 may be a metal layer, such as copper (Cu), gold, silver (Ag), aluminum (Al), tungsten (W), molybdenum (Mo), chromium (Cr), tantalum (Ta), alloys thereof, or the like.


At operation 504, an optional ion doping/implantation process is performed to implant ions into the metal containing layer 602, forming a doped metal containing layer 610, as shown in FIG. 6C. In the examples wherein the ion implantation process is eliminated, the thermal annealing process at operation 506, which will be described in greater detail below, may be performed directly on the metal containing layer 602. The ion doping/implantation process is performed to dope, coat, treat, implant, insert or modify certain film/surface properties on certain locations of the metal containing layer 602 with dopants formed into, forming the doped metal containing layer 610. The ion doping/implantation process utilizes incident ions to modify film/surface properties on the metal containing layer 602, with dopants doped thereto to form the doped metal containing layer 610. The ion doping/implantation process may be performed in any suitable ion implantation/doping processing tools. The ions, which include a desired type of atoms, may be doped into the metal containing layer 602 with desired concentration. The ions doped into the metal containing layer 602 may modify the film/surface properties of the metal containing layer 602, which may affect, improve or alter the lattice structure, degree of crystalline, bonding structure or film density of the metal containing layer 602, forming the doped metal containing layer 610.


In the embodiment wherein the metal containing layer 602 includes InGaZnO, the ions doped into the metal containing layer 602 may include indium (In) or molybdenum (Mo), gallium (Ga), zinc (Zn) and the like. It is believed that In or Mo dopants doped into the metal containing layer 602 (e.g., a InGaZnO) may alter the electrical properties such as high mobility, crystallinity (e.g., from amorphous structure, C-axis aligned crystalline structure (CAAC), polycrystalline structure or even single crystalline structure) of the InGaZnO material, thus, providing the doped metal containing layer 610 with desired film properties. For example, higher degree of the crystallinity provided by the In or Mo dopants in the InGaZnO material is believed to increase the electron mobility of the film properties, thus enhancing the electrical performance of the TFT device structure or semiconductor devices, when doped metal containing layer 610 is utilized thereof.


Furthermore, it is also believed that the gallium (Ga) and zinc oxide (ZnO) ratio included in the InGaZnO material may also affect the resultant lattice structure of the doped metal containing layer 610. It is believed the ratio of Ga elements included in the InGaZnO material may increase the film transparency as well as the overall film bandgap. The ratio of Zn or ZnO elements included in the InGaZnO material may increase the mobility as well as the reducing the thermal annealing temperature requirements for crystallinity enhancement. Thus, by selecting a proper dosage of the In dopants into the InGaZnO material, a desired crystalline of the InGaZnO material may be obtained. Furthermore, the desired crystalline of the InGaZnO material may also help reducing the temperature requirement in the following thermally annealing process at operation 506, providing process advantages in TFT device applications as the substrate 301 is often optical transparent material with relatively low thermal cycle budges.


In one embodiment, the resultant In dopant in the InGaZnO material may have a doping concentration of between about 5E15 ions/cm2 and about 9E15 ions/cm2, such as about 8.5E15 ions/cm2, formed in the doped metal containing layer 610. Furthermore, the ratio of the In or Mo element in the InGaZnO material may be increased from about 10%-13% to about 14%-16% (between about 15% and about 30% increase) by atomic weight.


Several process parameters may be controlled during the ion doping/implantation process. The ion doping/implantation process may be performed by supplying an ion doping gas mixture into the ion doping/implantation tool along with a desired amount of the power energy to dope the ions from the ion doping gas mixture into the substrate 301. The ion doping gas mixture may be supplied into the ion doping/implantation tool at a flow rate between about 10 sccm and about 1000 sccm. Suitable elements for supplying in the ion doping used in vapor state during implant include indium vapor and molybdenum vapor. A RF power, such as capacitive or inductive RF power, DC power, electromagnetic energy, ion beam, or magnetron sputtering, may be supplied into the ion doping/implantation process to assist dissociating the ion doping gas mixture during processing. Ions generated by the dissociative energy may be accelerated toward the substrate using an electric field produced by applying a DC or RF electrical bias to the substrate support or to a gas inlet above the substrate support, or both. In some embodiments, the gas containing energetic ions may be a plasma. An energy of between about 20 keV and about 80 keV, such as between about 35 keV and about 55 keV, for example about 45 keV, may be used to implant ions into the metal containing layer 602. The substrate temperature may be controlled at between about 5 degrees Celsius and about 50 degrees Celsius, such as about 15 degrees Celsius.


At operation 506, a high pressure annealing process is performed. The annealing process performed at high process pressure, such as greater than 2 bar but less than, may assist densifying and repairing the vacancies in the doped metal containing layer 610, forming the annealed metal containing layer 603 with the desired film properties, as shown in FIG. 6D. In some examples, the high process pressure may go up to 100 bar. In the embodiment wherein the ion doping/implantation process at operation 504 is not performed, the high pressure annealing process may be performed directly on the metal containing layer 602 from FIG. 6B to form the annealed metal containing layer 603, as shown in FIG. 6D. The annealing process may be performed at a processing chamber, such as the processing chamber 100 depicted in FIG. 1, or other suitable processing chambers, including those that process substrate one at a time.


The high pressure annealing process performed at operation 506 maintains processing pressure at the high-pressure region in a vapor phase, for example in a dry vapor phase that has substantially no liquid droplets present. The processing pressure and temperature is controlled to density the film structures, so as to repair the film defects, driving out impurities and increasing film density. In one example, the high-pressure region 115 is pressurized to a pressure greater than atmosphere, for example greater than about 2 bar. In another example, the high-pressure region 115 is pressurized to a pressure from about 5 to about 100 bars, such as from about 5 to about 50 bars, such as about 35 bar. As the high pressure may efficiently assist densifying the film structure, a relatively low processing temperature, such as less than 500 degrees Celsius, to reduce likelihood of thermal cycle damage to the substrate 301.


During processing, the high-pressure region 115 is maintained at a relatively low temperature, for example, a temperature less than 500 degrees Celsius, such as between about 150 degrees Celsius and about 350 degrees Celsius, by the heaters 122 disposed within the outer chamber 110. Thus, a low thermal budget to the substrate may be obtained by utilizing the high pressure annealing process along with low temperature regime.


It is believed that the high pressure process may provide a driving force to drive out the dangling bonds in the metal containing layer 602 or the doped metal containing layer 610, thus, repairing, reacting and saturating the dangling bonds in the metal containing layer 602 during the annealing process. In one example, an oxygen containing gas, such as O3 gas), O2 gas, air, H2O, H2O2, N2O, NO2, CO2, CO and dry steam, or chalcogen vapor including sulfur (S) vapor and selenium (Se) vapor, or tellurium vapor or other suitable gases may be supplied during the annealing process. In one specific example, the oxygen containing gas comprises steam, for example, dry steam and/or air. The oxygen elements from the oxygen containing gas during the annealing process may be driven into the metal containing layer 602, altering the bonding structures and removing the atomic vacancies therein, thus, densifying and enhancing lattice structures and increasing the crystallinity of the metal containing layer 602. In some examples, an inert gas or a carrier gas, such as Ar, N2, He, Kr and the like, may be supplied with the oxygen containing gas. In one embodiment, the oxygen containing gas supplied in the oxygen containing gas mixture is dry steam supplied at a pressure greater than 2 bar.


In one exemplary implementation, a process pressure is regulated at a pressure greater than 2 bar, such as between 5 bar and 100 bar, such as between 20 bar and about 80 bar, for example between about 25 bar and 75 bar, such as about 35 bar. The process temperature may be controlled at greater than 150 degrees Celsius but less than 500 degrees Celsius, such as between about 150 degrees Celsius and about 380 degrees Celsius, such as between about 180 degrees Celsius and about 400 degrees Celsius. In one example, chalcogen vapor, such as sulfur (S) vapor, selenium (Se) vapor, may be supplied during the annealing process for metal oxychalcogen, such as InGaZnO comprising S or Se.


After the annealing process at high pressure, the metal containing layer 602 or the doped metal containing layer 610 has a densified film structure, which provides a relatively robust film structure with amorphous morphology that provides higher film density, high film mobility, low carrier concentration and low film resistivity as compared to the metal containing layer 602 or doped metal containing layer 610 annealed by a conventional annealing process at a pressure around one atmospheric pressure, while both annealing process are at the same anneal temperature. In one example, the mobility of the high pressure annealed metal containing layer 603 with indium dopants (formed from the doped metal containing layer 610) is increased between about 5 times and about 20 times, resistivity increased about 10 times and carrier concentration decreased about 100 times, as compared to a conventional annealing process at 1 atmospheric pressure while under the same anneal temperature.


In one example, the mobility of the high pressure annealed metal containing layer 603 without indium dopants (formed from the metal containing layer 602) is increased between about 1.5 times and about 5 times, resistivity increased from about 20 percent to about 99 percent and carrier concentration decreased about 100 times, as compared to a conventional annealing process at 1 atmospheric pressure while under the same anneal temperature.


Thus, methods for thermal annealing a metal containing layer are provided. The metal containing layer may be thermally treated/annealed by a high pressure annealing process with high process pressure, such as greater than 2 bar but less than 50 bar. By utilizing such high pressure annealing process, the process temperature may be maintained less than 500 degrees Celsius, thus reducing the thermal budge contributed to the substrate where the metal containing layer is formed thereon, providing a good film quality with desired crystallinity and structure integration management.


While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims
  • 1. A processing chamber comprising: a substrate support disposed within an internal volume of the processing chamber and configured to support an optically transparent substrate during processing, wherein a metal containing layer is disposed on the optically transparent substrate;a gas panel configured to supply an oxygen containing gas mixture to the internal volume of the processing chamber; anda controller configured to control the gas panel to maintain the oxygen containing gas mixture in the internal volume at a process pressure between 2 bar and 50 bar while thermally annealing the metal containing layer in the presence of the oxygen containing gas mixture.
  • 2. The processing chamber of claim 1, wherein the controller is configured to maintain a substrate temperature at less than 400 degrees Celsius while the oxygen containing gas mixture is supplied to the internal volume.
  • 3. The processing chamber of claim 1, wherein the oxygen containing gas mixture includes at least an oxygen containing gas selected from a group consisting of O3 gas), O2 gas, H2O, H2O2, N2O, NO2, CO2, CO, air, and dry steam.
  • 4. The processing chamber of claim 1, wherein oxygen containing gas mixture includes dry steam or air.
  • 5. The processing chamber of claim 1, wherein the controller is configured to maintain the process pressure between about 5 bar and 100 bar.
  • 6. The processing chamber of claim 1, wherein the metal containing layer is a metal oxide layer.
  • 7. The processing chamber of claim 6, wherein the metal oxide layer is selected from a group consisting of a-IGZO (amorphous indium gallium zinc oxide), doped IGZO, InGaZnON, ZnO, ZnON, ZnSnO, CdSnO, GaSnO, TiSnO, CuBO2, CuAlO2, CuGaO2, SrCuO, LaCuOS, GaN, InGaN, AlGaN and InGaAlN.
  • 8. The processing chamber of claim 1, wherein the metal containing layer is implanted with dopants prior to the gas panel supplying the oxygen containing gas mixture to the internal volume.
  • 9. The processing chamber of claim 8, wherein the dopants implanted into the metal containing layer comprises indium or molybdenum.
  • 10. The processing chamber of claim 9, wherein the dopants implanted into the metal containing layer increase crystallinity of the metal containing layer.
  • 11. The processing chamber of claim 1, wherein the metal containing layer is an active layer in a thin film transistor (TFT) device structure.
  • 12. The processing chamber of claim 1, wherein the metal containing layer is InGaZnON.
  • 13. The processing chamber of claim 1, wherein the metal containing layer is an electrode in a TFT device structure.
  • 14. The processing chamber of claim 1, wherein the metal containing layer has a higher mobility after thermally annealing the metal containing layer.
  • 15. The processing chamber of claim 1, wherein the metal containing layer has a higher film density after thermally annealing the metal containing layer.
  • 16. A processing chamber comprising: a substrate support disposed within an internal volume of the processing chamber and configured to support an optically transparent substrate during processing, wherein a metal containing layer is disposed on the optically transparent substrate;a gas panel configured to supply a processing gas to the internal volume of the processing chamber; anda controller configured to: thermally treat the metal containing layer by controlling the gas panel to maintain a process pressure between 2 bar and 50 bar to; andmaintain a substrate temperature of the optically transparent substrate at less than 500 degrees Celsius while thermally treating the metal containing layer.
  • 17. The processing chamber of claim 16, wherein the gas panel supplies the processing gas while thermally treating the metal containing layer, the processing gas is dry steam, air, chalcogen vapor, or tellurium vapor.
  • 18. The processing chamber of claim 17, wherein the metal containing layer is selected from a group consisting of a-IGZO (amorphous indium gallium zinc oxide), doped IGZO, InGaZnON, ZnO, ZnON, ZnSnO, CdSnO, GaSnO, TiSnO, CuBO2, CuAlO2, CuGaO2, SrCuO, LaCuOS, GaN, InGaN, AlGaN and InGaAlN.
  • 19. The processing chamber of claim 16, wherein the metal containing layer has a higher mobility after thermal treating the metal containing layer.
  • 20. A processing system comprising: a first processing chamber configured to form a metal containing layer on a substrate;a processing tool configured to implant dopants into the metal containing layer; anda second processing chamber configured to thermally treat the metal containing layer on the substrate at a pressure between 2 bar and 50 bar while maintaining a substrate temperature less than 500 degrees Celsius.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. non-provisional patent application Ser. No. 16/262,094, filed Jan. 30, 2019, which claims benefit of U.S. provisional patent application Ser. No. 62/641,110 filed Mar. 9, 2018, each of which are herein incorporated by reference in its entirety.

US Referenced Citations (560)
Number Name Date Kind
3684592 Chang et al. Aug 1972 A
3749383 Voigt et al. Jul 1973 A
3758316 Sowards et al. Sep 1973 A
4409260 Pastor et al. Oct 1983 A
4424101 Nowicki Jan 1984 A
4524587 Kantor Jun 1985 A
4576652 Hovel et al. Mar 1986 A
4589193 Goth et al. May 1986 A
4879259 Reynolds et al. Nov 1989 A
5050540 Lindberg Sep 1991 A
5114513 Hosokawa et al. May 1992 A
5126117 Schumacher et al. Jun 1992 A
5149378 Ohmi et al. Sep 1992 A
5167717 Boitnott Dec 1992 A
5175123 Vasquez et al. Dec 1992 A
5300320 Barron et al. Apr 1994 A
5314541 Saito et al. May 1994 A
5319212 Tokoro Jun 1994 A
5366905 Mukai Nov 1994 A
5472812 Sekine Dec 1995 A
5578132 Yamaga et al. Nov 1996 A
5590695 Siegele et al. Jan 1997 A
5597439 Salzman Jan 1997 A
5620524 Fan et al. Apr 1997 A
5677230 Weitzel et al. Oct 1997 A
5747383 Chen et al. May 1998 A
5808245 Wiese et al. Sep 1998 A
5857368 Grunes et al. Jan 1999 A
5858051 Komiyama et al. Jan 1999 A
5877087 Mosely et al. Mar 1999 A
5879756 Fathi et al. Mar 1999 A
5880041 Ong Mar 1999 A
5886864 Dvorsky Mar 1999 A
5888888 Talwar et al. Mar 1999 A
5918149 Besser et al. Jun 1999 A
5940985 Kamikawa et al. Aug 1999 A
6071810 Wada et al. Jun 2000 A
6077571 Kaloyeros Jun 2000 A
6082950 Altwood et al. Jul 2000 A
6086730 Lju Jul 2000 A
6103585 Michaelis Aug 2000 A
6136664 Economikos et al. Oct 2000 A
6140235 Yao et al. Oct 2000 A
6150286 Sun et al. Nov 2000 A
6164412 Allman Dec 2000 A
6207487 Kim et al. Mar 2001 B1
6242368 Holmer et al. Jun 2001 B1
6242808 Shimizu et al. Jun 2001 B1
6251242 Fu et al. Jun 2001 B1
6251751 Chu et al. Jun 2001 B1
6277249 Gopalraja et al. Aug 2001 B1
6284646 Leem Sep 2001 B1
6299753 Chao et al. Oct 2001 B1
6305314 Sneh et al. Oct 2001 B1
6319766 Bakli et al. Nov 2001 B1
6319847 Ishikawa Nov 2001 B1
6334249 Hsu Jan 2002 B2
6334266 Moritz et al. Jan 2002 B1
6335240 Kim et al. Jan 2002 B1
6344249 Maruyama et al. Feb 2002 B1
6344419 Forster et al. Feb 2002 B1
6348376 Lim et al. Feb 2002 B2
6355558 Dixit Mar 2002 B1
6358829 Yoon et al. Mar 2002 B2
6368412 Gomi Apr 2002 B1
6372598 Kang et al. Apr 2002 B2
6387764 Curtis et al. May 2002 B1
6399486 Chen et al. Jun 2002 B1
6399491 Jeon et al. Jun 2002 B2
6416822 Chiang et al. Jul 2002 B1
6428859 Chiang et al. Aug 2002 B1
6442980 Preston et al. Sep 2002 B2
6451119 Sneh et al. Sep 2002 B2
6451695 Sneh Sep 2002 B2
6458701 Chae et al. Oct 2002 B1
6464779 Powell et al. Oct 2002 B1
6468490 Shamouilian et al. Oct 2002 B1
6468924 Lee et al. Oct 2002 B2
6475910 Sneh Nov 2002 B1
6478872 Chae et al. Nov 2002 B1
6482262 Elers et al. Nov 2002 B1
6482733 Raaijmakers et al. Nov 2002 B2
6482740 Soininen et al. Nov 2002 B2
6489214 Kim et al. Dec 2002 B2
6500603 Shioda Dec 2002 B1
6511539 Raaijmakers Jan 2003 B1
6534395 Werkhoven et al. Mar 2003 B2
6548424 Putkonen Apr 2003 B2
6551929 Kori et al. Apr 2003 B1
6569501 Chiang et al. May 2003 B2
6583497 Xia et al. Jun 2003 B2
6585823 Van Wijck Jul 2003 B1
6599572 Saanila et al. Jul 2003 B2
6599819 Goto Jul 2003 B1
6607976 Chen et al. Aug 2003 B2
6619304 Worm Sep 2003 B2
6620670 Song et al. Sep 2003 B2
6620723 Byun et al. Sep 2003 B1
6620956 Chen et al. Sep 2003 B2
6630201 Chiang et al. Oct 2003 B2
6632279 Ritala et al. Oct 2003 B1
6657304 Woo et al. Dec 2003 B1
6660660 Haukka et al. Dec 2003 B2
6686271 Raaijmakers et al. Feb 2004 B2
6780777 Yun et al. Aug 2004 B2
6797336 Garvey et al. Sep 2004 B2
6825115 Xiang et al. Nov 2004 B1
6841432 Takemura et al. Jan 2005 B1
6849122 Fair Feb 2005 B1
6867130 Karlsson et al. Mar 2005 B1
6867152 Hausmann et al. Mar 2005 B1
6889627 Hao May 2005 B1
6897118 Poon et al. May 2005 B1
6969448 Lau Nov 2005 B1
7055333 Leitch et al. Jun 2006 B2
7084079 Conti et al. Aug 2006 B2
7105061 Shrinivasan et al. Sep 2006 B1
7111630 Mizobata et al. Sep 2006 B2
7114517 Sund et al. Oct 2006 B2
7211525 Shanker et al. May 2007 B1
7282458 Gates et al. Oct 2007 B2
7361231 Fury et al. Apr 2008 B2
7429402 Gandikota et al. Sep 2008 B2
7432200 Chowdhury et al. Oct 2008 B2
7460760 Cho et al. Dec 2008 B2
7465650 Derderian Dec 2008 B2
7491658 Nguyen et al. Feb 2009 B2
7503334 Shrinivasan et al. Mar 2009 B1
7521089 Hillman et al. Apr 2009 B2
7521378 Fucsko et al. Apr 2009 B2
7541297 Mallick et al. Jun 2009 B2
7576441 Yin et al. Aug 2009 B2
7629227 Wang et al. Dec 2009 B1
7650965 Thayer et al. Jan 2010 B2
7651959 Fukazawa et al. Jan 2010 B2
7655532 Chen et al. Feb 2010 B1
7691442 Gandikota et al. Apr 2010 B2
7709320 Cheng May 2010 B2
7759749 Tanikawa Jul 2010 B2
7825038 Ingle et al. Nov 2010 B2
7825042 Mandal Nov 2010 B2
7867914 Xi et al. Jan 2011 B2
7867923 Mallick et al. Jan 2011 B2
7891228 Ding et al. Feb 2011 B2
7910165 Ganguli et al. Mar 2011 B2
7964505 Khandelwal et al. Jun 2011 B2
7964506 Ponnuswamy et al. Jun 2011 B1
8027089 Hayashi Sep 2011 B2
8278224 Mui et al. Oct 2012 B1
8306026 Anjum et al. Nov 2012 B2
8318584 Li et al. Nov 2012 B2
8349085 Tahara et al. Jan 2013 B2
8449942 Liang et al. May 2013 B2
8455368 Chandler et al. Jun 2013 B2
8466073 Wang et al. Jun 2013 B2
8481123 Kim et al. Jul 2013 B2
8536065 Seamons et al. Sep 2013 B2
8557712 Antonelli et al. Oct 2013 B1
8563445 Liang et al. Oct 2013 B2
8585873 Ford et al. Nov 2013 B2
8647992 Liang et al. Feb 2014 B2
8648253 Woods et al. Feb 2014 B1
8668868 Chiu et al. Mar 2014 B2
8741788 Liang et al. Jun 2014 B2
8871656 Mallick et al. Oct 2014 B2
8906761 Kim et al. Dec 2014 B2
8936834 Kim et al. Jan 2015 B2
9121515 Yamamoto et al. Sep 2015 B2
9153442 Wang et al. Oct 2015 B2
9157730 Rajagopalan et al. Oct 2015 B2
9190321 Cabral, Jr. et al. Nov 2015 B2
9257314 Rivera et al. Feb 2016 B1
9306026 Toriumi et al. Apr 2016 B2
9330939 Zope et al. May 2016 B2
9362107 Thadani et al. Jun 2016 B2
9382621 Choi et al. Jul 2016 B2
9423313 Douba et al. Aug 2016 B2
9484406 Sun et al. Nov 2016 B1
9502307 Bao et al. Nov 2016 B1
9570551 Balakrishnan et al. Feb 2017 B1
9583655 Cheng Feb 2017 B2
9646850 Pethe May 2017 B2
9679810 Nag et al. Jun 2017 B1
9685371 Zope et al. Jun 2017 B2
9695503 Stowell et al. Jul 2017 B2
9741626 Cheng et al. Aug 2017 B1
9777378 Nemani et al. Oct 2017 B2
10049927 Mebarki et al. Aug 2018 B2
10083834 Thompson et al. Sep 2018 B2
10096516 Leschkies et al. Oct 2018 B1
10179941 Khan et al. Jan 2019 B1
10224224 Liang et al. Mar 2019 B2
10234630 Meyer Timmerman Thijssen et al. Mar 2019 B2
10269571 Wong et al. Apr 2019 B2
10276411 Delmas et al. Apr 2019 B2
10403729 Lee Sep 2019 B2
10410918 Wu et al. Sep 2019 B2
10529585 Manna et al. Jan 2020 B2
10529603 Liang et al. Jan 2020 B2
10566188 Clemons et al. Feb 2020 B2
10622214 Wong et al. Apr 2020 B2
10636669 Chen et al. Apr 2020 B2
10636677 Delmas et al. Apr 2020 B2
10636704 Mebarki et al. Apr 2020 B2
10643867 Delmas et al. May 2020 B2
10675581 Khan et al. Jun 2020 B2
10685830 Delmas Jun 2020 B2
10714331 Balseanu et al. Jul 2020 B2
10720341 Liang et al. Jul 2020 B2
10748783 Khan et al. Aug 2020 B2
10790183 Sun et al. Sep 2020 B2
10847360 Wong et al. Nov 2020 B2
10854483 Schaller et al. Dec 2020 B2
10916433 Ren et al. Feb 2021 B2
10950429 Citla et al. Mar 2021 B2
10957533 Jiang et al. Mar 2021 B2
11018032 Delmas et al. May 2021 B2
11101174 Jiang et al. Aug 2021 B2
20010016429 Mak et al. Aug 2001 A1
20010029108 Tometsuka Oct 2001 A1
20010041122 Kroeker Nov 2001 A1
20010050096 Costantini et al. Dec 2001 A1
20010055649 Ogure et al. Dec 2001 A1
20020066535 Brown et al. Jun 2002 A1
20020073922 Frankel et al. Jun 2002 A1
20020098715 Lane et al. Jul 2002 A1
20020122885 Ahn Sep 2002 A1
20020134439 Kawasaki et al. Sep 2002 A1
20020148492 Yamagata et al. Oct 2002 A1
20020151128 Lane et al. Oct 2002 A1
20020155714 Suzuki Oct 2002 A1
20020192056 Reimer et al. Dec 2002 A1
20020197806 Furukawa et al. Dec 2002 A1
20030022487 Yoon et al. Jan 2003 A1
20030030945 Heinonen et al. Feb 2003 A1
20030049372 Cook et al. Mar 2003 A1
20030053893 Matsunaga et al. Mar 2003 A1
20030059538 Chung et al. Mar 2003 A1
20030101938 Ronsse et al. Jun 2003 A1
20030121887 Garvey et al. Jul 2003 A1
20030129832 Fujikawa Jul 2003 A1
20030148035 Lingampalli Aug 2003 A1
20030148631 Kuo et al. Aug 2003 A1
20030194615 Krauth Oct 2003 A1
20030207593 Derderian et al. Nov 2003 A1
20030232512 Dickinson et al. Dec 2003 A1
20040025908 Douglas et al. Feb 2004 A1
20040060519 Beauchaine et al. Apr 2004 A1
20040074869 Wang et al. Apr 2004 A1
20040097060 San et al. May 2004 A1
20040112409 Schilling Jun 2004 A1
20040180510 Ranade Sep 2004 A1
20040184792 Hamelin et al. Sep 2004 A1
20040219800 Tognetti Nov 2004 A1
20040248392 Narwankar et al. Dec 2004 A1
20040255979 Fury et al. Dec 2004 A1
20050003655 Cathey et al. Jan 2005 A1
20050014365 Moon et al. Jan 2005 A1
20050022737 Shimizu et al. Feb 2005 A1
20050051194 Sakashita et al. Mar 2005 A1
20050074956 Autryve et al. Apr 2005 A1
20050082281 Uemori et al. Apr 2005 A1
20050109392 Hollars May 2005 A1
20050136684 Mukai et al. Jun 2005 A1
20050161158 Schumacher Jul 2005 A1
20050164445 Lin et al. Jul 2005 A1
20050186765 Ma et al. Aug 2005 A1
20050191828 Al-Bayati et al. Sep 2005 A1
20050198971 Leitch et al. Sep 2005 A1
20050205210 Devine et al. Sep 2005 A1
20050227479 Feng et al. Oct 2005 A1
20050250347 Bailey et al. Nov 2005 A1
20050269291 Kent Dec 2005 A1
20060003596 Fucsko et al. Jan 2006 A1
20060035035 Sakama Feb 2006 A1
20060079086 Boit et al. Apr 2006 A1
20060091493 Wu May 2006 A1
20060105107 Lindeboom et al. May 2006 A1
20060105515 Amos et al. May 2006 A1
20060105557 Klee et al. May 2006 A1
20060110934 Fukuchi May 2006 A1
20060124613 Kumar et al. Jun 2006 A1
20060128150 Gandikota et al. Jun 2006 A1
20060175012 Lee Aug 2006 A1
20060207633 Kim et al. Sep 2006 A1
20060226117 Bertram et al. Oct 2006 A1
20060240187 Weidman Oct 2006 A1
20060279025 Heidari et al. Dec 2006 A1
20060290017 Yanagisawa Dec 2006 A1
20070012402 Sneh Jan 2007 A1
20070045753 Pae et al. Mar 2007 A1
20070087533 Nishikawa et al. Apr 2007 A1
20070095651 Ye et al. May 2007 A1
20070111519 Lubomirsky et al. May 2007 A1
20070116873 Li et al. May 2007 A1
20070145416 Ohta Jun 2007 A1
20070187386 Kim et al. Aug 2007 A1
20070204797 Fischer Sep 2007 A1
20070209931 Miller Sep 2007 A1
20070212850 Ingle et al. Sep 2007 A1
20070243317 Du Bois et al. Oct 2007 A1
20070254471 Kameyama et al. Nov 2007 A1
20070254477 Muraoka et al. Nov 2007 A1
20070256559 Chen et al. Nov 2007 A1
20080001196 Cheng Jan 2008 A1
20080073691 Konno et al. Mar 2008 A1
20080074658 Davis et al. Mar 2008 A1
20080076230 Cheng Mar 2008 A1
20080083109 Shibata et al. Apr 2008 A1
20080085611 Khandelwal et al. Apr 2008 A1
20080115726 Ingle et al. May 2008 A1
20080121882 Hwang et al. May 2008 A1
20080132050 Lavoie Jun 2008 A1
20080210273 Joe Sep 2008 A1
20080241384 Jeong et al. Oct 2008 A1
20080251904 Theuss et al. Oct 2008 A1
20080268635 Yu et al. Oct 2008 A1
20080311711 Hampp et al. Dec 2008 A1
20080315762 Hamada et al. Dec 2008 A1
20090018688 Chandler et al. Jan 2009 A1
20090029126 Tanikawa Jan 2009 A1
20090035915 Su Feb 2009 A1
20090035952 Chua et al. Feb 2009 A1
20090053426 Lu et al. Feb 2009 A1
20090053893 Khandelwal et al. Feb 2009 A1
20090081884 Yokota et al. Mar 2009 A1
20090087981 Suzuki et al. Apr 2009 A1
20090110622 Chiu et al. Apr 2009 A1
20090148965 Kim et al. Jun 2009 A1
20090180847 Guo et al. Jul 2009 A1
20090183992 Fredenberg et al. Jul 2009 A1
20090186481 Suzuki et al. Jul 2009 A1
20090233449 Lebouitz et al. Sep 2009 A1
20090243126 Washiya et al. Oct 2009 A1
20090246952 Ishizaka et al. Oct 2009 A1
20090269507 Yu et al. Oct 2009 A1
20090283735 Li et al. Nov 2009 A1
20090298257 Lee et al. Dec 2009 A1
20100006211 Wolk et al. Jan 2010 A1
20100007632 Yamazaki Jan 2010 A1
20100012292 Yamazaki Jan 2010 A1
20100022068 Chen et al. Jan 2010 A1
20100032838 Kikuchi et al. Feb 2010 A1
20100072569 Han et al. Mar 2010 A1
20100102417 Ganguli et al. Apr 2010 A1
20100173470 Lee et al. Jul 2010 A1
20100173495 Thakur et al. Jul 2010 A1
20100196626 Choi et al. Aug 2010 A1
20100203725 Choi et al. Aug 2010 A1
20100248419 Woodruff et al. Sep 2010 A1
20100273324 Lin et al. Oct 2010 A1
20100297854 Ramamurthy et al. Nov 2010 A1
20100304027 Lee et al. Dec 2010 A1
20100320459 Umeda et al. Dec 2010 A1
20100323517 Baker-O'Neal et al. Dec 2010 A1
20100327422 Lee et al. Dec 2010 A1
20110011737 Wu et al. Jan 2011 A1
20110048524 Nam et al. Mar 2011 A1
20110124192 Ganguli et al. May 2011 A1
20110151677 Wang et al. Jun 2011 A1
20110163449 Kelly et al. Jul 2011 A1
20110165781 Liang et al. Jul 2011 A1
20110174363 Munteanu Jul 2011 A1
20110198736 Shero et al. Aug 2011 A1
20110204518 Arunachalam Aug 2011 A1
20110233778 Lee et al. Sep 2011 A1
20110237019 Horng et al. Sep 2011 A1
20110240464 Rasheed et al. Oct 2011 A1
20110263091 Yamazaki Oct 2011 A1
20110303147 Tachibana et al. Dec 2011 A1
20110305836 Murata et al. Dec 2011 A1
20120048304 Kitajima et al. Mar 2012 A1
20120056173 Pieralisi Mar 2012 A1
20120060868 Gray Mar 2012 A1
20120100678 Sako et al. Apr 2012 A1
20120112224 Le Bellac et al. May 2012 A1
20120138146 Furuhata et al. Jun 2012 A1
20120142192 Li et al. Jun 2012 A1
20120142198 Wang et al. Jun 2012 A1
20120153483 Akolkar et al. Jun 2012 A1
20120175822 Inamiya et al. Jul 2012 A1
20120252207 Lei et al. Oct 2012 A1
20120252210 Tohnoe Oct 2012 A1
20120258602 Subramani et al. Oct 2012 A1
20120285492 Lee et al. Nov 2012 A1
20120304485 Hayashi et al. Dec 2012 A1
20120309190 Kelly et al. Dec 2012 A1
20130068391 Mazzocco et al. Mar 2013 A1
20130069174 Chuang et al. Mar 2013 A1
20130194350 Watanabe et al. Aug 2013 A1
20130233170 Spiegelman et al. Sep 2013 A1
20130241037 Jeong et al. Sep 2013 A1
20130256125 Young et al. Oct 2013 A1
20130277760 Lu et al. Oct 2013 A1
20130288485 Liang et al. Oct 2013 A1
20130302916 Kim et al. Nov 2013 A1
20130330042 Nara et al. Dec 2013 A1
20130337171 Sasagawa Dec 2013 A1
20140003892 Yamamoto et al. Jan 2014 A1
20140023320 Lee et al. Jan 2014 A1
20140034632 Pan et al. Feb 2014 A1
20140045300 Chen et al. Feb 2014 A1
20140051264 Mallick et al. Feb 2014 A1
20140076494 Miyashita et al. Mar 2014 A1
20140102877 Yamazaki Apr 2014 A1
20140134827 Swaminathan et al. May 2014 A1
20140138802 Starostine et al. May 2014 A1
20140144462 Verhaverbeke et al. May 2014 A1
20140159135 Fujimoto et al. Jun 2014 A1
20140183743 Matsumoto et al. Jul 2014 A1
20140213070 Hong et al. Jul 2014 A1
20140231384 Underwood et al. Aug 2014 A1
20140234583 Ryu et al. Aug 2014 A1
20140235068 Ashihara et al. Aug 2014 A1
20140239291 Son et al. Aug 2014 A1
20140239292 Kim et al. Aug 2014 A1
20140264237 Chen et al. Sep 2014 A1
20140268080 Beasley et al. Sep 2014 A1
20140273335 Abushama Sep 2014 A1
20140284821 Hubbard Sep 2014 A1
20140319129 Ahmad Oct 2014 A1
20140319462 Huang et al. Oct 2014 A1
20140322921 Ahmad et al. Oct 2014 A1
20150000870 Hosotani et al. Jan 2015 A1
20150021672 Chuang et al. Jan 2015 A1
20150024592 Chandrashekar et al. Jan 2015 A1
20150050807 Wu et al. Feb 2015 A1
20150056819 Wong et al. Feb 2015 A1
20150091009 Yamazaki et al. Apr 2015 A1
20150093891 Zope et al. Apr 2015 A1
20150099342 Tsai et al. Apr 2015 A1
20150102340 Shimoda et al. Apr 2015 A1
20150144999 Ching et al. May 2015 A1
20150145002 Lee et al. May 2015 A1
20150159272 Yoon et al. Jun 2015 A1
20150179501 Jhaveri et al. Jun 2015 A1
20150197455 Pranov Jul 2015 A1
20150203961 Ha et al. Jul 2015 A1
20150255581 Lin et al. Sep 2015 A1
20150279635 Subramani et al. Oct 2015 A1
20150292736 Hirson et al. Oct 2015 A1
20150309073 Mirkin et al. Oct 2015 A1
20150322286 Cabrini et al. Nov 2015 A1
20150348824 Kuenle et al. Dec 2015 A1
20150357195 Lam et al. Dec 2015 A1
20150364348 Park et al. Dec 2015 A1
20150364554 Kim et al. Dec 2015 A1
20160027887 Yuan et al. Jan 2016 A1
20160035600 Rivera et al. Feb 2016 A1
20160053366 Stowell et al. Feb 2016 A1
20160064209 Lee et al. Mar 2016 A1
20160064482 Hashemi et al. Mar 2016 A1
20160076149 Yamazaki et al. Mar 2016 A1
20160086831 Rivera et al. Mar 2016 A1
20160093726 Ching et al. Mar 2016 A1
20160111272 Girard et al. Apr 2016 A1
20160111337 Hatcher et al. Apr 2016 A1
20160118260 Mebarki et al. Apr 2016 A1
20160118391 Zhao et al. Apr 2016 A1
20160126104 Shaviv et al. May 2016 A1
20160163540 Liao et al. Jun 2016 A1
20160181414 Huang et al. Jun 2016 A1
20160186363 Merzaghi et al. Jun 2016 A1
20160204027 Lakshmanan et al. Jul 2016 A1
20160208414 Odawara et al. Jul 2016 A1
20160260526 Otto Sep 2016 A1
20160268127 Yamazaki Sep 2016 A1
20160273758 Fujimura Sep 2016 A1
20160274454 Beasley et al. Sep 2016 A1
20160284882 Jang Sep 2016 A1
20160308048 Ching et al. Oct 2016 A1
20160314964 Tang et al. Oct 2016 A1
20160329190 Evans et al. Nov 2016 A1
20160329458 Evans et al. Nov 2016 A1
20160334162 Kim et al. Nov 2016 A1
20160336405 Sun et al. Nov 2016 A1
20160336475 Mackie et al. Nov 2016 A1
20160353522 Rathi et al. Dec 2016 A1
20160355927 Weaver et al. Dec 2016 A1
20160358809 Brown et al. Dec 2016 A1
20160358815 Yu et al. Dec 2016 A1
20160372319 Zeng et al. Dec 2016 A1
20160377972 Hofmann et al. Dec 2016 A1
20160379853 Schaller et al. Dec 2016 A1
20160379854 Vopat et al. Dec 2016 A1
20170005188 Cheng et al. Jan 2017 A1
20170005204 Hosoba et al. Jan 2017 A1
20170011932 Pethe et al. Jan 2017 A1
20170053784 Subramani et al. Feb 2017 A1
20170053946 Matsuzaki et al. Feb 2017 A1
20170084487 Chebiam et al. Mar 2017 A1
20170104062 Bi et al. Apr 2017 A1
20170110616 Dissanayake et al. Apr 2017 A1
20170117379 Chen et al. Apr 2017 A1
20170140996 Lin et al. May 2017 A1
20170160012 Kobayashi et al. Jun 2017 A1
20170162413 Rebstock Jun 2017 A1
20170194430 Wood et al. Jul 2017 A1
20170200642 Shaviv Jul 2017 A1
20170253968 Yahata Sep 2017 A1
20170263702 Chan et al. Sep 2017 A1
20170263773 Yamazaki Sep 2017 A1
20170287842 Fu et al. Oct 2017 A1
20170301767 Niimi et al. Oct 2017 A1
20170314125 Fenwick et al. Nov 2017 A1
20170317109 Wang et al. Nov 2017 A1
20170358483 Roy et al. Dec 2017 A1
20180003567 Petry et al. Jan 2018 A1
20180019249 Zhang et al. Jan 2018 A1
20180023192 Chandra et al. Jan 2018 A1
20180033615 Tjandra Feb 2018 A1
20180051368 Liu et al. Feb 2018 A1
20180053725 Edelstein et al. Feb 2018 A1
20180068890 Zope et al. Mar 2018 A1
20180087418 Cadigan et al. Mar 2018 A1
20180096847 Thompson et al. Apr 2018 A1
20180096874 Schaller et al. Apr 2018 A1
20180182856 Lee Jun 2018 A1
20180209037 Citla et al. Jul 2018 A1
20180240682 Lai et al. Aug 2018 A1
20180258533 Liang et al. Sep 2018 A1
20180261480 Liang et al. Sep 2018 A1
20180286674 Manna et al. Oct 2018 A1
20180308669 Bokka et al. Oct 2018 A1
20180315626 Franklin Nov 2018 A1
20180323093 Zhang et al. Nov 2018 A1
20180337027 L'Heureux et al. Nov 2018 A1
20180342384 Wong et al. Nov 2018 A1
20180342396 Wong et al. Nov 2018 A1
20180350563 Manna et al. Dec 2018 A1
20180366328 Ren et al. Dec 2018 A1
20190019708 Weaver et al. Jan 2019 A1
20190057879 Delmas et al. Feb 2019 A1
20190119769 Khan et al. Apr 2019 A1
20190139793 Delmas et al. May 2019 A1
20190148178 Liang et al. May 2019 A1
20190148186 Schaller et al. May 2019 A1
20190157074 Delmas May 2019 A1
20190170591 Petry et al. Jun 2019 A1
20190198367 Liang et al. Jun 2019 A1
20190198368 Weaver et al. Jun 2019 A1
20190228982 Chen et al. Jul 2019 A1
20190229004 Schaller et al. Jul 2019 A1
20190237345 Delmas et al. Aug 2019 A1
20190258153 Nemani et al. Aug 2019 A1
20190259625 Nemani et al. Aug 2019 A1
20190259638 Schaller et al. Aug 2019 A1
20190279879 Singh et al. Sep 2019 A1
20190311896 Balseanu et al. Oct 2019 A1
20190326138 Forderhase et al. Oct 2019 A1
20190360100 Nguyen et al. Nov 2019 A1
20190360633 Schaller et al. Nov 2019 A1
20190368035 Malik et al. Dec 2019 A1
20190371650 Sun et al. Dec 2019 A1
20190375105 Weaver et al. Dec 2019 A1
20200035509 Khan et al. Jan 2020 A1
20200035513 Khan et al. Jan 2020 A1
20200075392 Brown et al. Mar 2020 A1
20200098574 Wong et al. Mar 2020 A1
20210167235 Li et al. Jun 2021 A1
Foreign Referenced Citations (129)
Number Date Country
1280875 Oct 2006 CN
101871043 Oct 2010 CN
102386052 Mar 2012 CN
102856234 Jan 2013 CN
104047676 Sep 2014 CN
104089491 Oct 2014 CN
103035513 Oct 2016 CN
0516344 Dec 1992 EP
0670590 Sep 1995 EP
1069213 Jan 2001 EP
1107288 Jun 2001 EP
0840365 Oct 2003 EP
63-004616 Jan 1988 JP
S6367721 Mar 1988 JP
H1218018 Aug 1989 JP
H04355922 Dec 1992 JP
H0521347 Jan 1993 JP
06-283496 Oct 1994 JP
H07048489 May 1995 JP
H07158767 Jun 1995 JP
H08195493 Jul 1996 JP
H09048690 Feb 1997 JP
H9296267 Nov 1997 JP
H10214880 Aug 1998 JP
H10335657 Dec 1998 JP
H11209872 Aug 1999 JP
H11-354515 Dec 1999 JP
2000221799 Aug 2000 JP
2000357699 Dec 2000 JP
2001053066 Feb 2001 JP
2001110729 Apr 2001 JP
2001274161 Oct 2001 JP
2003-51474 Feb 2003 JP
2003166065 Jun 2003 JP
2003188387 Jul 2003 JP
2003243374 Aug 2003 JP
2004127958 Apr 2004 JP
2005-79528 Mar 2005 JP
2005064269 Mar 2005 JP
2005530343 Oct 2005 JP
2005-333015 Dec 2005 JP
2006526125 Nov 2006 JP
2007524229 Aug 2007 JP
2007242791 Sep 2007 JP
2008073611 Apr 2008 JP
2008118118 May 2008 JP
2008153635 Jul 2008 JP
2009-129927 Jun 2009 JP
2009-539231 Nov 2009 JP
2009278115 Nov 2009 JP
201080949 Apr 2010 JP
2010168607 Aug 2010 JP
2010-205854 Sep 2010 JP
2011-29394 Feb 2011 JP
2011108739 Jun 2011 JP
2011210778 Oct 2011 JP
2011258943 Dec 2011 JP
2012-503883 Feb 2012 JP
2012-204656 Oct 2012 JP
2013-105777 May 2013 JP
2013516788 May 2013 JP
2013-179244 Sep 2013 JP
2013175710 Sep 2013 JP
2013206919 Oct 2013 JP
2014019912 Feb 2014 JP
2014103351 Jun 2014 JP
2014525143 Sep 2014 JP
2015067884 Apr 2015 JP
2015086459 May 2015 JP
2015515744 May 2015 JP
2015115394 Jun 2015 JP
2015517200 Jun 2015 JP
2015529012 Oct 2015 JP
2015-233157 Dec 2015 JP
19980063671 Oct 1998 KR
10-2001-0051185 Jun 2001 KR
20010046452 Jun 2001 KR
20010046843 Jun 2001 KR
20030052162 Jun 2003 KR
100422433 Jul 2004 KR
10-20040068969 Aug 2004 KR
20050121750 Dec 2005 KR
100684910 Feb 2007 KR
20070048821 May 2007 KR
20070068596 Jul 2007 KR
20070075383 Jul 2007 KR
20090011463 Feb 2009 KR
1020090040867 Apr 2009 KR
10-2009-0064279 Jun 2009 KR
10-2010-0035000 Apr 2010 KR
20110136532 Dec 2011 KR
101226958 Jan 2013 KR
101287035 Jul 2013 KR
101305904 Sep 2013 KR
20140003776 Jan 2014 KR
20140104112 Aug 2014 KR
101438291 Sep 2014 KR
20140106977 Sep 2014 KR
101459502 Nov 2014 KR
20140135744 Nov 2014 KR
20150006587 Jan 2015 KR
20150062545 Jun 2015 KR
101561924 Oct 2015 KR
10-2015-0130370 Nov 2015 KR
20150122432 Nov 2015 KR
20160044004 Apr 2016 KR
20160061437 May 2016 KR
200529284 Sep 2005 TW
200721316 Jun 2007 TW
201507174 Feb 2015 TW
201608672 Mar 2016 TW
201708597 Mar 2017 TW
200051938 Sep 2000 WO
03023827 Mar 2003 WO
2004102055 Nov 2004 WO
2005057663 Jun 2005 WO
2008047886 Apr 2008 WO
2008089178 Jul 2008 WO
2010115128 Jan 2011 WO
2011002058 Jan 2011 WO
2011103062 Aug 2011 WO
2012133583 Oct 2012 WO
2014115600 Jul 2014 WO
2015195081 Dec 2015 WO
2016018593 Feb 2016 WO
2016018593 Feb 2016 WO
2016065219 Apr 2016 WO
2016111833 Jul 2016 WO
2018187546 Oct 2018 WO
Non-Patent Literature Citations (81)
Entry
International Search Report and Written Opinion for PCT/US2018/021715 dated Jun. 22, 2018.
International Search Report and Written Opinion from PCT/US2018/034036 dated Aug. 24, 2018.
International Search Report and Written Opinion dated Aug. 24, 2018 for Application No. PCT/US2018/034284.
International Search Report, Application No. PCT/US2018/028258 dated Aug. 9, 2018.
International Search Report and Written Opinion for PCT/US2018/035210 dated Aug. 24, 2018.
International Search Report and Written Opinion for PCT/US2018/037539 dated Oct. 5, 2018.
International Search Report and Written Opinion for PCT/US2018/038822 dated Oct. 26, 2018.
Chen, Yang et al., “Analysis of Supercritical Carbon Dioxide Heat Exchangers in Cooling Process”, International Refrigeration and Air Conditioning Conference at Purdue, Jul. 17-20, 2006, pp. 1-8.
Shimoyama, Takehiro et al., “Porous Aluminum for Heat Exchanger”, Hitachi Chemical, pp. 19-20.
Kato, T. et al., “Heat Transfer Characteristics of a Plate-Fin Type Supercritical/Liquid Helium Heat Exchanger”, ICEC 14 Proceedings Supplement, 1992, pp. 260-263.
Lee, Ho-Saeng et al., “The cooling heat transfer characteristics of the supercritical CO2 in mico-fin tube”, Springer, Oct. 2, 2012, pp. 173-184.
International Search Report and Written Opinion dated Nov. 30, 2018 for Application No. PCT/US2018/041688.
International Search Report and Written Opinion for PCT/US2018/043160 dated Jan. 31, 2019.
International Search Report and Written Opinion dated Jan. 31, 2019 for Application No. PCT/US2018/042760.
International Search Report and Written Opinion for PCT/US2018/059643 dated Feb. 26, 2019.
International Search Report and Written Opinion from PCT/US2019/012161 dated Apr. 30, 2019.
International Search Report and Written Opinion for PCT/US2019/015339 dated May 15, 2019.
International Search Report and Written Opinion for PCT/US2019/015332 dated May 15, 2019.
International Search Report and Written Opinion for PCT/US2018/059676 dated May 23, 2019.
International Search Report and Written Opinion for PCT/US2019/023431 dated Jul. 5, 2019.
Haskel Pressure on Demand, Pneumatic and Hydraulic Driven Gas Boosters, Apr. 30, 2016, 36 pp.
Taiwan Office Action dated Jul. 3, 2019 for Application No. 107136151.
International Search Report and Written Opinion for International Application No. PCT/US2019/029602 dated Aug. 14, 2019.
Taiwan Office Action dated Jun. 11, 2019 for Application No. 107138905.
Office Action for Japanese Application No. 2018-546484 dated Oct. 8, 2019.
International Search Report and Written Opinion for International Application No. PCT/US2019/040195 dated Oct. 25, 2019.
Taiwan Office Action dated Nov. 19, 2019 for Application No. 108103415.
Office Action for Japanese Application No. 2018-517285 dated Oct. 23, 2019.
Office Action for Taiwan Patent Application No. 108111501 dated Nov. 14, 2019.
International Search Report and Written Opinion for PCT/US2018/050464 dated Jan. 4, 2019.
International Search Report and Written Opinion for PCT/US2019/056447 dated Feb. 7, 2020.
KR Office Action dated Feb. 4, 2020 for Application No. 10-2018-0133399.
Taiwan Office Action dated Feb. 21, 2020 for Application No. 108138212.
International Search Report and Written Opinion for International Application No. PCT/US2019/059659 dated Feb. 26, 2020.
Office Action from Taiwan Patent Application No. 108104585 dated Jan. 30, 2020, with concise statement of relevance.
Pedestal definition from Dictionary.com, printed on Feb. 10, 2020 (year 2020).
Taiwan Office Action dated Oct. 12, 2020 for Application No. 108140559.
Office Action for Japanese Application No. 2019-548976 dated Oct. 20, 2020.
European International Search Report issued to 18764622.9 dated Nov. 20, 2020.
Office Action for Korean Application No. 10-2019-7029776 dated Jan. 18, 2021.
Taiwan Office Action dated May 4, 2020 for Application No. 107121254.
Japanese Office Action dated Feb. 16, 2021 for Application No. 2019-564964.
Extended European International Search Report issued to 18831823.2 dated Mar. 19, 2021.
Office Action for Korean Application No. 10-2020-7004396 dated Apr. 5, 2021.
Japanese Office Action dated Apr. 20, 2021 for Application No. JP 2020-508603.
Korean Office Action issued to Application No. 10-2019-7038099 dated May 1, 2021.
Office Action for Japanese Patent Application No. 2019-548976 dated May 25, 2021.
Ahn, Byung Du, et. al. “A review on the recent developments of solution processes for oxide thin film transistors,” Semiconductor Science and Technology, vol. 30, No. 6, May 8, 2015, 15 pages.
European International Search Report issued to 19757893.3. dated Aug. 10, 2021.
European International Search Report issued to 19764212.7 dated Aug. 11, 2021.
Japanese Office Action for Application No. 2020-525886 dated Aug. 31, 2021.
Japanese Office Action for Application No. 2020-547132 dated Nov. 10, 2021.
Office Action for Korean Patent Application No. 10-2020-7027850 dated Aug. 1, 2022.
Japanese Office Action for Application No. 2020-500629 dated Oct. 12, 2021.
Korean Office Action dated Nov. 23, 2021, for Korean Patent Application No. 10-2021-7031756.
Chinese Office Action dated Nov. 24, 2021 for Application No. 201880074319.5.
KR Office Action dated Nov. 23, 2021, for Korean Patent Application No. 10-2021-7031754.
KR Office Action dated Dec. 14, 2021 for Application No. 10-2020-7027144.
Korean Office Action for Application No. 10-2020-7027850 dated Feb. 17, 2022.
Japanese Office Action dated Jul. 11, 2022 for Application No. 2020-547132.
Office Action for Japanese Patent Application No. 2020-500629 dated Jun. 8, 2021.
Extended European Search Report for EP Application No. 18876650.5 dated Jul. 19, 2021.
Extended European Search Report for EP Application No. 18806169.1 dated Jul. 19, 2021.
Korean Office Action dated Jul. 16, 2021 for Application No. 10-2020-7007956.
Office Action for Japanese Patent Application No. 2020-543976 dated Jul. 13, 2021.
Taiwan Office Action dated Jul. 28, 2021 for Application No. 107108016.
International Search Report and Written Opinion for International Application No. PCT/US2019/032609 dated Sep. 11, 2019.
Lin, Kevin L. et al.—“Nickel silicide for interconnects”, 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM), IEEE, (XP032808874), May 18, 2015, pp. 169-172.
EPO Extended European Search Report dated Aug. 9, 2019, for European Patent Application No. 19166775.7.
Japanese Office Action dated Mar. 17, 2020, for Japanese Patent Application No. 2019-073230.
Taiwan Office Action dated Mar. 31, 2020, for Taiwan Patent Application No. 108111883.
Korean Office Action dated Aug. 4, 2020, for Korean Patent Application No. 10-2019-0040236.
Japanese Office Action dated Nov. 10, 2020, for Japanese Patent Application No. 2019-073230.
T. Miyake et al., “Effects of atomic hydrogen on Cu reflow process”, AIP Conferenec Proceedings 418, 419 (1998).
International Search Report and Written Opinion dated Aug. 24, 2017 for Application No. PCT/US2017/033862.
Taiwan Office Action for Application No. 106119184 dated Mar. 6, 2019.
Japanese Office Action for Application No. 2018-564195 dated Nov. 19, 2019.
PCT Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority for International Application No. PCT/US2019/061995; dated Mar. 9, 2020; 13 total pages.
International Search Report PCT/2020/046396 dated Nov. 26, 2020 consists of 12 pages.
International Search Report and Written Opinion for PCT/US2021/014991 dated May 17, 2021.
Korean Office Action dated Aug. 26, 2021, for Korean Patent Application No. 10-2020-4016526.
Related Publications (1)
Number Date Country
20210257221 A1 Aug 2021 US
Provisional Applications (1)
Number Date Country
62641110 Mar 2018 US
Continuations (1)
Number Date Country
Parent 16262094 Jan 2019 US
Child 17307737 US