The invention relates to the field of integrated circuits.
Semiconductor integrated circuits (ICs) typically include analog and digital electronic circuits on a flat semiconductor substrate, such as a silicon wafer. Microscopic transistors are printed onto the substrate using photolithography techniques to produce complex circuits of billions of transistors in a very small area, making modern electronic circuit design using ICs both low cost and high performance. ICs are produced in assembly lines of factories, termed foundries, which have commoditized the production of ICs, such as complementary metal-oxide-semiconductor (CMOS) ICs.
Typically, ICs are produced in large batches on a single wafer of electronic-grade silicon (EGS) or other semiconductor (such as GaAs). The wafer is cut (diced) into many pieces, each containing one copy of the circuit. Each of these pieces is called a ‘die.’
Digital ICs are typically packaged in a metal, plastic, glass, or ceramic casing. The casing, or ‘package,’ is connected to a circuit board, such as by using solder. Types of packages include a lead frame (though-hole, surface mount, chip-carrier, and/or the like), pin grid array, chip scale package, ball grid array, and/or the like, to connect between the IC pads and the circuit board.
Input/Output (I/O) connections or ports (pins) from a die or IC, for example to another die or another component (which may be another IC), can degrade over time. One approach for performance monitoring of I/O ports is loopback testing. An internal loopback test can be used to test logic. This uses on-die loopback test circuitry. However, it is also useful to perform a loopback test by connecting the output from a transmission I/O pin to a receiver on the same IC (and/or die). This may allow confirmation that the transmitter is functioning correctly. Typically, an external testing circuit is required for this purpose.
The foregoing examples of the related art and limitations related therewith are intended to be illustrative and not exclusive. Other limitations of the related art will become apparent to those of skill in the art upon a reading of the specification and a study of the figures.
The following embodiments and aspects thereof are described and illustrated in conjunction with systems, tools and methods which are meant to be exemplary and illustrative, not limiting in scope.
In one aspect, there is provided a loopback testing system for one or more transmission output paths of a semiconductor Integrated Circuit (IC). The system comprises: one or more parametric loopback sensors in the semiconductor IC, each parametric loopback sensor being configured to receive a clocked data input signal to a respective transmitter of the IC and a signal from a transmission output path from the respective transmitter of the IC and to generate a respective sensor output based on a comparison of the clocked data input signal and the signal from the transmission output path for the respective transmitter of the IC; and a programmable load circuit in the semiconductor IC, coupled to each transmission output path.
In embodiments, the one or more transmission output paths are a plurality of transmission output paths and the one or more parametric loopback sensors are a plurality of parametric loopback sensors. In embodiments, the programmable load circuit comprises: a programmable load; and a multiplexer, coupled to each transmission output path of the plurality of transmission output paths and configured to selectively couple one of the received transmission output paths to the programmable load.
In embodiments, the programmable load is an RC load.
In embodiments, the programmable load circuit comprises: a programmable load, configured to receive the signal from a transmission output path and provide a loaded signal output; a pin characterization sensor, configured to receive the loaded signal output and generate a characterization signal based on the loaded signal output; and a finite state machine, configured to set the programmable load based on the characterization signal.
In embodiments, the pin characterization sensor comprises a slew rate characterization sensor.
In embodiments, each of the one or more parametric loopback sensors is a respective first parametric loopback sensor. Then, the loopback testing system further comprises: one or more receivers, each receiver being configured to receive a signal from the programmable load circuit coupled to a respective one of the one or more transmission output paths and to generate a respective receiver output; and one or more second parametric loopback sensors, each second parametric loopback sensor being configured to receive a respective receiver output from a receiver of the one or more receivers and a signal from a transmission output path from the respective transmitter of the IC and to generate a respective second sensor output based on a comparison of the receiver output and the signal from the transmission output path for the respective transmitter of the IC.
In embodiments, a structure of the one or more second parametric loopback sensors is the same as structure of the one or more first parametric loopback sensors.
In embodiments, the programmable load circuit comprises a programmable load, configured to receive the signal from a transmission output path and provide a loaded signal output and wherein each receiver is configured to receive the loaded signal output as the signal from the programmable load circuit.
In embodiments, the one or more receivers comprise a common receiver for all of the one or more transmission output paths and the one or more second parametric loopback sensors comprise a common second parametric loopback sensor for all of the one or more transmission output paths.
In embodiments, each transmitter of the IC has an associated input state element receiving a respective clock signal and wherein each of the one or more parametric loopback sensors is further configured to receive the respective clock signal.
In embodiments, each parametric loopback sensor is configured to selectively operate: in a clock-scan mode, in which the parametric loopback sensor is repeatedly operated to generate the respective sensor output using a different delay applied to the received clock signal; and in a fixed-clock mode, in which the parametric loopback sensor is repeatedly operated to generate the respective sensor output using the same delay applied to the received clock signal.
In embodiments, each parametric loopback sensor comprises: a first state-element, configured to receive a signal derived from the clocked data input signal at a first state-element data input, to receive a signal derived from the clock signal at a first state-element clock input and to provide a clocked data signal as a first state-element output; a programmable delay line, configured to receive the clock signal and output a delayed clock signal; a second state-element, configured to receive the signal from the transmission output path at a second state-element data input, to receive the delayed clock signal at a second state-element clock input and to provide a clocked transmission output path signal as a second state-element output; and a comparison circuit, configured to generate a comparison circuit output based on a comparison of the clocked data signal and the clocked transmission output path signal.
In embodiments, the comparison circuit comprises a comparison (logic) gate or comparison element. A suitable example is an XOR gate.
In embodiments, each parametric loopback sensor further comprises: a third state-element, having a third state-element data input and configured to receive a signal derived from the clock signal at a clock input and to provide a sensor fail signal as an output; and an OR gate, configured to receive the comparison circuit output at a first input and the sensor fail signal at a second input, to generate an output based on the first and second inputs, the output of the OR gate being provided to the third state-element data input.
In embodiments, each parametric loopback sensor further comprises: a fourth state-element, configured to receive a low logic level at a fourth state-element data input and a signal derived from the clock signal at a fourth state-element clock input and to provide a fourth state-element element output based on the received low logic level and a fourth state-element clock input, the fourth state-element further configured to receive a reset signal at a fourth state-element set state input; and a fifth state-element, configured to receive a signal based on the fourth state-element output at a fifth state-element data input and a signal derived from the clock signal at a fifth state-element clock input and to provide a fifth state-element output based on the signal received at the fifth state-element data input and the fifth state-element clock input, the fifth state-element further configured to receive the reset signal at a fifth state-element set state input. The third state-element is beneficially further configured to receive the fifth state-element output at a third state-element reset state input.
In another aspect, there may be considered an input/output (I/O) block for a semiconductor Integrated Circuit (IC). The I/O block comprises: a plurality of transmitters, each transmitter being configured to receive a respective clocked data input signal and output a transmission signal on a respective transmission output path; a plurality of parametric loopback sensors, each parametric loopback sensor being configured to receive the clocked data input signal and transmission signal for a respective transmitter, and to generate a respective sensor output based on a comparison of the clocked data input signal and the transmission signal for the respective transmitter; and a programmable load circuit, coupled to each transmission output path.
In embodiments, the I/O block may comprise any of the features disclosed herein in respect of the loopback testing system or any other aspect disclosed herein. In other words, this aspect may be combined with any other aspect disclosed herein. Some examples include the following optional embodiments.
In embodiments, the programmable load circuit comprises: a programmable load; and a multiplexer, configured to receive the respective transmission signal from each transmission output path and selectively couple one of the received transmission signals to the programmable load.
In embodiments, the programmable load circuit comprises: a programmable load, configured to receive the transmission signal from a transmission output path and provide a loaded signal output; a pin characterization sensor, configured to receive the loaded signal output and generate a characterization signal based on the loaded signal output; and a finite state machine, configured to set the programmable load based on the characterization signal.
In embodiments, each transmitter has a respective contact pad in the transmission output path or wherein each transmitter corresponds with a respective pin of the semiconductor IC.
In a further aspect, there is provided a semiconductor Integrated Circuit (IC), comprising: a plurality of pins, each pin defining a respective transmission output path, a signal carried on each transmission output path being based on a respective IC internal clocked data signal; a plurality of parametric loopback sensors, each parametric loopback sensor being configured to receive the IC internal clocked data signal and the signal carried on the transmission output for a respective pin, and to generate a respective sensor output based on a comparison of the IC internal clocked data signal and the signal carried on the transmission output for the respective pin; and a programmable load circuit, coupled to each transmission output path.
In embodiments, the IC may comprise any of the features disclosed herein in respect of the loopback testing system, I/O block or any other aspect disclosed herein. In other words, this aspect may be combined with any other aspect disclosed herein.
In a yet further aspect, there is provided a non-transitory computer readable medium having stored thereon a computer-readable encoding of a loopback testing system. The computer-readable encoding of the loopback testing system comprises any of the features disclosed herein in respect of the loopback testing system. For example, the loopback testing system may comprise: one or more parametric loopback sensors, each parametric loopback sensor being configured to receive a clocked data input signal to a respective transmitter of the IC and a signal from a transmission output path from the respective transmitter of the IC and to generate a respective sensor output based on a comparison of the clocked data input signal and the signal from the transmission output path for the respective transmitter of the IC; and a programmable load circuit, coupled to each transmission output path. In embodiments, the encoding of a loopback testing system may be provided as a computer program (for example, in the form of instructions for manufacturing or fabricating a loopback testing system) or as some other form of computer readable medium. In embodiments, the encoding of a loopback testing system (however embodied) may comprise any of the features disclosed herein in respect of the loopback testing system, I/O block, IC or any other aspect disclosed herein. In other words, this aspect may be combined with any other aspect disclosed herein.
In addition to the exemplary aspects and embodiments described above, further aspects and embodiments will become apparent by reference to the figures and by study of the following detailed description. The skilled person will appreciate that combinations and sub-combinations of specific features disclosed herein may also be provided, even if not explicitly described.
Exemplary embodiments are illustrated in referenced figures. Dimensions of components and features shown in the figures are generally chosen for convenience and clarity of presentation and are not necessarily shown to scale. The figures are listed below.
Disclosed herein are circuits, devices, systems, and methods for loopback testing of one or more transmission output paths of a semiconductor Integrated Circuit (IC). A loopback testing system uses one or more parametric loopback sensors, that is, a loopback sensor configured for determining transmission parameters, with an optional additional logic test that determines if the logic circuitry of the IC is operating correctly. The one or more parametric loopback sensors are in the semiconductor IC (that is, on the die, integrally formed with it). Each parametric loopback sensor is for testing a specific transmitter or a specific transmission path or pin (having at least one transmitter). Each transmitter receives a data signal, from which an output signal is generated. This data signal is provided as an input to the parametric loopback sensor. The signal at the output of the transmitter or on the transmission path or pin is also provided as an input to the parametric loopback sensor. By comparing the data signal and the signal at the output of the transmitter or on the transmission path or pin, a performance measurement for the transmitter can be determined.
Advantageously, a programmable load circuit in the semiconductor IC (on the die) is coupled to each transmission path or pin (in other words, to the output of each transmitter). The effect of the programmable load circuit may be to emulate the load provided by a receiver coupled to the transmission path or pin (for instance on another die or IC), which may be in the same package or in another package. A common programmable load circuit for multiple transmission sensors may be efficient in terms of space (since a load may require more semiconductor surface area than a sensor) and power.
Such configurations on-die may permit high-speed loopback functionality per transmission path or pin. In particular, such testing may be possible in a test-mode, before die-to-die assembly. The use of an on-die loopback tester may replace separate loopback testing device and/or loopback evaluation board or EVB. Parallel operation during built-in self-test (BIST) may also be possible.
The parametric loopback sensor allows a wide range of possible measurements. For example, parametric transmission loop-delay characterization per pin may be determined. Measurements may be made considering load conditions, for instance lane-load or electrostatic discharge (ESD). Transmission skew can be determined.
Based on the measurements made, mitigation may be employed. For example, dies may be mixed and matched before assembly in a common package for uniform package performance. Outlier transmission pins may be identified.
Referring first to
Each transmission path 10 has a respective, separate parametric loopback sensor 20. However, the load circuit 30, which is advantageously programmable, is common to all K transmission paths. The load circuit 30 comprises: a multiplexer 32; a programmable RC load (RC filter) 34; a pin characterization sensor 36 (for example, a slew rate sensor or TCA); and finite state machine (FSM) 38. The programmable RC load 34 represents a realistic pin load (for instance, comprising lane-load, ESD or other components). The output from the programmable RC load 34 is a loaded signal output and is provided to the pin characterization sensor 36.
This system thus provides a mechanism for performing an I/O loop-back and measuring the transmission loop-delay of multiple (K) pins of an I/O system.
The transmission loop delay is measured by comparing the data signal input D and the transmission signal Txo. In particular, it may be measured based on the time from the rising edge of the clock until the signal change of the transmission signal Txo (corresponding with the signal change of the data signal input D). The output of the parametric loopback sensor 20 may detect or identify connectivity and/or transmission weakness issues.
The pin characterization sensor 36 generates a characterization signal (for example, a digital signal) based on the loaded signal output. Here, the pin characterization sensor 36 is typically a slew-rate TCA (SRTCA) and thereby measures the loaded pin slew-rate. Although the pin characterization sensor 36 is shown with a single input (which represents a data input), an example SRTCA would typically have additional inputs for measurement and control (for instance, a clock input and other threshold inputs), but these are not shown in
The FSM 38 thus generates a control signal for the programmable RC load 34 based on the digital output of the pin characterization sensor 36. This control signal for the programmable RC load 34 may adjust the resistance and/or capacitance of the programmable RC load 34. In embodiments, the control signal for the programmable RC load 34 may enable or disable a requisite number of capacitors in the programmable RC load 34 in accordance with the control signal. For example, where the pin characterization sensor 36 is a SRTCA, the digital output of the pin characterization sensor represents a slew rate. As an example, the higher the slew rate (as indicated by the digital output of the SRTCA), the higher the capacitance instructed by the control signal generated by the FSM 38. Conversely, as the slew rate reduces (again, as indicated by the digital output of the SRTCA), the FSM 38 may generate a control signal that reduces the capacitance of the programmable RC load 34. The relationship between the slew rate and the capacitance may be linear (proportional) or non-linear.
The loopback testing system can operate in two modes: (1) Clock scan mode (transmission Loop-Delay measurement); and (2) Fixed-clock mode (logic loopback).
When the system operates in Clock Scan mode, the clock is shifted by a delay-line circuit during the data transfer. Each measurement is taken with a different clock shift. The clock shift is unsynchronized to the data (this is standard TCA operation at a hold measurement mode). In this case, the output of the LBTCA would be equal to logic [1] if a fail event occurs, that is the signal at the data input port D_in is different from the signal at the data test port D_test. When a pass event occurs (that is the signal at the data input port D_in is the same as the signal at the data test port D_test), the output of the LBTCA becomes equal to logic [0]. This is discussed in more detail with reference to
When the system operates in Fixed-clock mode, the data comparison is performed at a certain fixed clock shift (constant delay-line). Again, the output of the LBTCA would be equal to logic [1] if a fail event occurs, that is the signal at the data input port Din is different from the signal at the data test port D_test. In this way, the LBTCA can provide a conventional logic loopback test.
In general terms, there may be considered a loopback testing system for one or more transmission output paths of a semiconductor Integrated Circuit (IC). The system comprises one or more parametric loopback sensors in the semiconductor IC. Each parametric loopback sensor is configured to receive: a clocked data input signal to a respective transmitter of the IC; and a signal from a transmission output path from the respective transmitter of the IC. Each parametric loopback sensor is further configured to generate a respective sensor output based on a comparison of the clocked data input signal and the signal from the transmission output path for the respective transmitter of the IC. Advantageously, the loopback testing system further comprises a programmable load circuit in the semiconductor IC, coupled to each transmission output path. This allows on-die parametric loopback testing in an efficient way. A common programmable load circuit can be used for multiple on-die parametric loopback tests.
Similarly, there may be considered an input/output (I/O) block for a semiconductor Integrated Circuit (IC), the I/O block comprising: a plurality of transmitters, each transmitter being configured to receive a respective clocked data input signal and output a transmission signal on a respective transmission output path; and a plurality of parametric loopback sensors, each parametric loopback sensor being configured to receive the clocked data input signal and transmission signal for a respective transmitter, and to generate a respective sensor output based on a comparison of the clocked data input signal and the transmission signal for the respective transmitter. Advantageously, the I/O block may further comprise a programmable load circuit, coupled to each transmission output path. It may also be understood that each transmitter has a respective contact pad in the transmission output path and/or each transmitter corresponds with a respective pin of the semiconductor IC. Effectively, the I/O block may comprise the loopback testing system. Features disclosed in respect of the loopback testing system may equally be disclosed in respect of the I/O block.
There may be further considered a semiconductor Integrated Circuit (IC), comprising: a plurality of pins, each pin defining a respective transmission output path, a signal carried on each transmission output path being based on a respective IC internal clocked data signal; and a plurality of parametric loopback sensors, each parametric loopback sensor being configured to receive the IC internal clocked data signal and the signal carried on the transmission output for a respective pin, and to generate a respective sensor output based on a comparison of the IC internal clocked data signal and the signal carried on the transmission output for the respective pin. Advantageously, the IC may further comprise a programmable load circuit, coupled to each transmission output path. Effectively, the IC may comprise the I/O block and/or loopback testing system. Features disclosed in respect of the I/O block and/or loopback testing system may equally be disclosed in respect of the IC.
There may additionally be considered a non-transitory computer readable medium having stored thereon a computer-readable encoding of a loopback testing system, the computer-readable encoding of the loopback testing system comprising encodings of: one or more parametric loopback sensors, each parametric loopback sensor being configured to receive a clocked data input signal to a respective transmitter of the IC and a signal from a transmission output path from the respective transmitter of the IC and to generate a respective sensor output based on a comparison of the clocked data input signal and the signal from the transmission output path for the respective transmitter of the IC. Advantageously, the loopback testing system further comprises a programmable load circuit, coupled to each transmission output path. Features disclosed in respect of the loopback testing system may equally be disclosed in respect of this encoding. In addition, the encoding may further include an encoding of an I/O block and/or IC as disclosed herein.
Features common to all these possible implementations will now be discussed. Although there may be one transmission output path and one parametric loopback sensor, in embodiments, the one or more transmission output paths are a plurality of transmission output paths and the one or more parametric loopback sensors are a plurality of parametric loopback sensors. In embodiments, the programmable load circuit comprises: a programmable load; and a multiplexer, coupled to (or configured to receive a signal from) each transmission output path of the plurality of transmission output paths and configured to selectively couple one of the received transmission output paths to the programmable load. Beneficially, the programmable load is an RC load. Additionally or alternatively, the programmable load circuit may comprise: a programmable load, configured to receive the signal from a transmission output path and provide a loaded signal output; a pin characterization sensor, configured to receive the loaded signal output and generate a characterization signal based on the loaded signal output; and a finite state machine (feedback circuit), configured to set the programmable load based on the characterization signal. For example, the pin characterization sensor may comprise (or be) a slew rate characterization sensor. The finite state machine may then be configured to change a capacitance of the programmable (RC) load in accordance with a slew rate indication provided by the slew rate characterization sensor. For instance, the finite state machine may be configured increase the capacitance of the programmable (RC) load in response to an increase in the slew rate indication and/or decrease the capacitance of the programmable (RC) load in response to a decrease in the slew rate indication.
In embodiments, each transmitter of the IC has an associated input state element receiving a respective clock signal. Then, each of the one or more parametric loopback sensors is further configured to receive the respective clock signal.
Advantageously, each parametric loopback sensor may be configured to selectively operate: in a clock-scan mode, in which the parametric loopback sensor is repeatedly operated to generate the respective sensor output using a different delay applied to the received clock signal (for instance, each delay being applied according to a repeating sequence); and in a fixed-clock mode, in which the parametric loopback sensor is repeatedly operated to generate the respective sensor output using the same delay (which may be effectively zero or can correspond with the intrinsic delay of the programmable delay line) applied to the received clock signal. The clock-scan mode may allow a test of the transmission path. The fixed-clock mode may allow a logic loopback test.
Specific details of implementations will again be discussed below. Further references to the general sense of the disclosure will again be made subsequently.
Referring next to
The enable circuitry 110 comprises a series of AND gates, each connected to one of the input signals at a first input and an enable signal (prtn_io_sensor_en) at a second input. In this way, the input signals are only used if the enable signal is a logic [1].
The data input Din and the clock signal clk are both delayed by respective fixed delay lines Do. The delayed data input signal is provided as a data input to the first flip-flop FF1 and the delayed clock signal (clk_ref) is provided as a clock input to the first flip-flop FF1.
The data test input D_test is provided as a data input to the second flip-flop FF2. The clock signal clk is also delayed by the adjustable or programmable delay line d and the so-delayed clock signal (clk_ref_d) is provided as a clock input to the second flip-flop FF2. The delay provided by the adjustable or programmable delay line d is controlled by an optionally 9-bit input configuration signal (prtn_io_sensor_cfg[8:0]).
In other words, the two data inputs (data input D_in and the data test input D_test) are sampled by the first flip-flop FF1 and the second flip-flop FF2. The first flip-flop FF1 samples the data from the data input D_in with a fixed clock (clk_ref) to provide a clocked data signal as an output and the second flip-flop FF2 samples data test input D_test with a shifted clock (clk_ref_d) to provide a clocked transmission output path signal as an output.
The outputs of the first flip-flop FF1 and the second flip-flop FF2 are provided (via respective buffers) as inputs to the comparison circuit. The comparison circuit is intended to compare the sampled data input and data test inputs and identify whether the comparison results in a pass or fail condition. Since the comparison circuit in this implementation comprises an XOR gate, the output of the comparison circuit (XO) is only a logic [1] if the output of the first flip-flop FF1 is different to the output of the second flip-flop FF2. It will be recognized that this can be implemented in alternative ways.
The output circuitry 130 comprises a third flip-flop (or state element) FF3. The third flip-flop FF3 captures the output of the comparison circuit XO until reset, by a signal to a reset input rst. This capturing is effected by an OR gate 131, which receives the output of the comparison circuit XO at one input and the output of the third flip-flop FF3 (which is effectively a sensor fail signal) at another input. The output of the OR gate 131 is provided as the data input to the third flip-flop FF3. The clock input to the third flip-flop FF3 is the fixed clock (clk_ref), that is the clock delayed by a fixed delay line Do. The third flip-flop FF3 is reset by the reset input rst each delay-line cycle (standard TCA operation), such that the output of the comparison circuit XO is captured for a full delay-line cycle. The sensor fail signal (the output of the third flip-flop FF3 ptrn_io_sensor_fail) can then be used for loopback performance measurement for the transmitter, as will be discussed further below.
The reset circuitry 120 comprises: a fourth flip-flop FF4; and a fifth flip-flop FF5. Both the fourth flip-flop FF4 and the fifth flip-flop FF5 receive the delayed version of the clock input clk as a clock input. The data input to the fourth flip-flop FF4 is a binary logic low level (1′b0). The data input to the fifth flip-flop FF5 is the output of the fourth flip-flop FF4 after passing through a buffer. A reset signal (prtn_io_sensor_rst_n) is received at the LBTCA 100 and a buffered version is applied to the fourth flip-flop FF4 and the fifth flip-flop FF5 at an inverted set input. The output of the fourth flip-flop FF4 after passing through a buffer is provided as the reset input of the third flip-flop FF3. This allows resetting of the LBTCA 100. Specifically, the fourth flip-flop FF4 and the fifth flip-flop FF5 are used to reset the third flip-flop FF3 and to de-assert the reset after two rising edges of the clock. The shown reset circuitry is merely given as an example, and those of skill in the art will recognize other reset circuitry implementations that are configured to reset the third flip-flop FF3 and to de-assert the reset after one or more rising edges of the clock—as suitable for the implementation at hand.
Returning to the general senses of the disclosure, as discussed above, further additional optional and/or advantageous feature may be considered. For example, each parametric loopback sensor may comprise: a first state-element, configured to receive a signal derived from the clocked data input signal at a first state-element data input, to receive a signal derived from the clock signal at a first state-element clock input and to provide a clocked data signal as a first state-element output; a programmable delay line, configured to receive the clock signal and output a delayed clock signal; a second state-element, configured to receive the signal from the transmission output path at a second state-element data input, to receive the delayed clock signal at a second state-element clock input and to provide a clocked transmission output path signal as a second state-element output; and a comparison circuit, configured to generate a comparison circuit output based on a comparison of the clocked data signal and the clocked transmission output path signal. In embodiments, the comparison circuit comprises an XOR gate.
Optionally, each parametric loopback sensor further comprises: a third state-element, having a third state-element data input and configured to receive a signal derived from the clock signal at a clock input and to provide a sensor fail signal as an output; and an OR gate, configured to receive the comparison circuit output at a first input and the sensor fail signal at a second input, to generate an output based on the first and second inputs, the output of the OR gate being provided to the third state-element data input.
In embodiments, each parametric loopback sensor further comprises: a fourth state-element, configured to receive a low logic level at a fourth state-element data input and a signal derived from the clock signal at a fourth state-element clock input and to provide a fourth state-element element output based on the received low logic level and a fourth state-element clock input, the fourth state-element further configured to receive a reset signal at a fourth state-element set state input; and a fifth state-element, configured to receive a signal based on the fourth state-element output at a fifth state-element data input and a signal derived from the clock signal at a fifth state-element clock input and to provide a fifth state-element output based on the signal received at the fifth state-element data input and the fifth state-element clock input, the fifth state-element further configured to receive the reset signal at a fifth state-element set state input. Then, the third state-element may be further configured to receive the fifth state-element output at a third state-element reset state input.
Additional reference to the general senses of the disclosure will be returned to below, after specific details of implementations are again discussed.
Referring now to
In clock scan mode, this time is measured using the delayed clock signal (clk_ref_d). In
Thus, as the delayed clock signal (clk_ref_d) is shifted, the LBTCA output will generate a Pass/Fail pattern. The length of the fail pattern (FFFF) corresponds to the loop delay. In other words, this represents the time between the rising/falling edge of the clock signal clk to rising/falling edge of the transmission path signal at the PAD (Txo). The loop delay may be a function of: (i) the time shift between the data input signal Din and the data test input signal D_test; (ii) pin load; (iii) transmission driver strength; (iv) transmission driver connectivity to the PAD0. A longer fail pattern length may indicate a long loop delay. The loop delay can be converted to picoseconds [ps] by multiplying the number of fails by the delay-line delay step length (in ps).
In fixed clock mode, the clock is not shifted and stays at a constant position within the Pass range. The LBTCA fail indication then indicates a loop-back fail.
With reference to
The SRTCA is based on a delay time measurement sensor, which is termed a Unified Tile Connectivity Agent (UTCA). The UTCA sensor has two key inputs: a data signal input (din), which would normally come from the pin or lane being measured; and a clock input (clkin). Effectively, the output of the UTCA indicates a measurement of the timing distance between an edge of the signal at the UTCA clock input (clkin) input and the signal at the data signal input (din).
Hence, the SRTCA may be formed from a UTCA by the addition of the further circuitry shown. Specifically, there is provided: a first receive (Rx1) comparator circuit, configured to generate a signal based on a comparison of the received signal input (Dqin) with a first provided level, in this case the low reference level (VREFL); and a second receive (Rx2) comparator circuit, configured to generate a signal based on a comparison of the received signal input (Dqin) with a second provided level, in this case the high reference level (VREFH). The output of the first Rx comparator circuit Rx1 is provided as the data signal input of the UTCA and the output of the second Rx comparator circuit Rx2 is provided as the clock input of the UTCA. Advantageously, each Rx comparator circuit is configured to generate a signal having an edge when the received signal input is at the respective provided reference level. It should be noted that, in this configuration, the SRTCA may operate with a different clock from the remainder of the IC, so additional synchronization may be used.
A typical loopback measurement is only concerned with the transmission path. However, it is possible to consider an enhanced loopback measurement, which considers the effect of the transmission path on the received signal. This may be investigated by incorporating a receiver into a loopback measurement, as will now be discussed.
With reference to
As in
In this implementation, the loopback testing system comprises: a first parametric loopback sensor 20; and a load circuit 230. The parametric loopback sensor or Tile Connectivity Agent (TCA) 20 (LBTCA[K:0]) is as discussed with reference to
The load circuit 230 is beneficially programmable and is common to all K transmission paths. Similarly to the load circuit discussed with reference to
The second LBTCA 220 may have the same design or structure as the first LBTCA 20 (for example, as shown with reference to
Returning again to the general senses of the disclosure, further additional optional and/or advantageous feature may be considered. For instance, each of the one or more parametric loopback sensors may be a respective first parametric loopback sensor. Then, the loopback testing system may further comprise: one or more receivers, each receiver being configured to receive a signal from the programmable load circuit coupled to a respective one of the one or more transmission output paths and to generate a respective receiver output; and one or more second parametric loopback sensors, each second parametric loopback sensor being configured to receive a respective receiver output from a receiver of the one or more receivers and a signal from a transmission output path from the respective transmitter of the IC and to generate a respective second sensor output based on a comparison of the receiver output and the signal from the transmission output path for the respective transmitter of the IC.
In embodiments, a structure of the one or more second parametric loopback sensors is the same as structure of the one or more first parametric loopback sensors.
In embodiments, the programmable load circuit comprises a programmable load, configured to receive the signal from a transmission output path and provide a loaded signal output and wherein each receiver is configured to receive the loaded signal output as the signal from the programmable load circuit.
In embodiments, the one or more receivers comprise a common receiver for all of the one or more transmission output paths and the one or more second parametric loopback sensors comprise a common second parametric loopback sensor for all of the one or more transmission output paths.
With reference to
In a Tx output reception step 310, the loopback testing system receives a signal from the transmission output path at a programmable load circuit of the loopback testing system. The programmable load applies a load (typically a RC load) to the transmission output path accordingly. In a load adjustment (optional) step 330, a programmable load of the programmable load circuit is adjusted based on the to generate a respective sensor output based on the signal received from the transmission output path. For example, this may be implemented using a feedback loop, comprising a pin characterization sensor (for example, a slew-rate characterization sensor) that generates a characterization signal based on the output of the programmable load and a finite state machine (feedback circuit or internal logic) that sets (or adjusts) the programmable load based on the characterization signal.
In an output signal generation step 330, the parametric loopback sensor generates an output signal based on a comparison of the clocked data input signal and the signal from the transmission output path. This output signal generation step 330 may be implemented for a certain delay value (which may be set or adjusted by a controller). In a delay adjustment (optional) step 340, which may be applied when the parametric loopback sensor is operated in a clock-scan mode, the delay value is set or adjusted. Then, the process returns to the first step 300, as shown by dashed line 345. Other steps can be understood from the description of the loopback testing system as described herein.
The semiconductor IC may be part of a ‘multi-IC module’. This may describe a group of interconnected ICs that are integrated and packaged together, and are configured to cooperate through this interconnection in order to achieve a certain joint functionality. The ICs in the module may communicate with each other through an interconnect bus (sometimes also called simply an “interconnect,” a “lane,” or “a channel”), for example. Their physical integration may be horizontal, vertical, or both.
A multi-IC module may be constructed by any known or later introduced integration technology, which either provides for direct connection between ICs, or indirect connection through an intermediary such as a certain interposer, substrate, circuit board, and/or the like. It is also possible for a multi-IC module to employ both direct and indirect connectivity between various pairs of its integrated ICs. Examples of today's multi-IC module integration technologies include Chip on Wafer on Substrate (CoWoS), Wafer On Wafer (WoW), Chip On Wafer (CoW), and 3D IC. However, embodiments of the invention are certainly beneficial also for any other type of multi-IC module which feature die-to-die (IC-to-IC) connectivity or chip-to-chip (C2C) connectivity.
C2C connectivity may be different from die-to-die connectivity. In C2C interconnects, the capacitance may be significantly higher than in other multi-IC module integration technologies due to higher lane length. To reduce any speed limitations on the interconnect, encoding of the data transmitted over the interconnect channel may be implemented (error control or channel coding). Specific encoding patterns may thus be used in calibration of the interconnect. The same or similar patterns may be employed for I/O monitoring. The I/O monitoring may be enabled during the lane training phase at a pre-defined data-rate.
Interconnects between dies and/or chips may implement wide buses and/or low power (picojoules/bit). Quality issues can be the cause of open-circuit, short-circuit, bridge-short (signal to signal), micro-bump resistance degradation at the receiver side, and/or micro-bump resistance degradation at the transmitter side. In this case, the timing of the signal at the receiver is expected to change and the change can be detected by a monitoring system. Timing effects and power effects of this monitoring are minimal.
A range of circuit designs and schematics are described herein. It will be appreciated that these circuit designs can be embodied in an electronic (also ‘digital’) representation (also ‘encoding’). The electronic representation may be stored in a computer readable medium, particularly of a non-transitory nature. A suitable electronic representation may include a representation for Electronic Computer-Aided Design (ECAD) software, also referred to as Electronic Design Automation (EDA) software. In this case, parts of the representation may be stored across multiple electronic documents or files, possibly including one or more libraries of the ECAD software providing details of the components of the circuit. The ECAD representation may provide instructions suitable for manufacture (also ‘fabrication’) of a circuit as represented in the design. According to the disclosure, there may be provided such an electronic representation. A method of using such an electronic representation of an electronic circuit as part of manufacturing the electronic circuit is further considered.
Throughout this disclosure, various embodiments may be presented in a range format. It should be understood that the description in range format is merely for convenience and brevity and should not be construed as an inflexible limitation on the scope of the invention. Accordingly, the description of a range should be considered to have specifically disclosed all the possible subranges as well as individual numerical values within that range. For example, description of a range such as from 1 to 6 should be considered to have specifically disclosed subranges such as from 1 to 3, from 1 to 4, from 1 to 5, from 2 to 4, from 2 to 6, from 3 to 6 etc., as well as individual numbers within that range, for example, 1, 2, 3, 4, 5, and 6. This applies regardless of the breadth of the range.
Whenever a numerical range is indicated herein, it is meant to include any cited numeral (fractional or integral) within the indicated range. The phrases “ranging/ranges between” a first indicate number and a second indicate number and “ranging/ranges from” a first indicate number “to” a second indicate number are used herein interchangeably and are meant to include the first and second indicated numbers and all the fractional and integral numerals therebetween.
In the description and claims of the disclosure, each of the words “comprise” “include” and “have”, and forms thereof, are not necessarily limited to members in a list with which the words may be associated. In addition, where there are inconsistencies between this application and any document incorporated by reference, it is hereby intended that the present application controls.
To clarify the references in this disclosure, it is noted that the use of nouns as common nouns, proper nouns, named nouns, and the/or like is not intended to imply that embodiments of the invention are limited to a single embodiment, and many configurations of the disclosed components can be used to describe some embodiments of the invention, while other configurations may be derived from these embodiments in different configurations.
In the interest of clarity, not all of the routine features of the implementations described herein are shown and described. It should, of course, be appreciated that in the development of any such actual implementation, numerous implementation-specific decisions must be made in order to achieve the developer's specific goals, such as compliance with application- and business-related constraints, and that these specific goals will vary from one implementation to another and from one developer to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming but would nevertheless be a routine undertaking of engineering for those of ordinary skill in the art having the benefit of this disclosure.
Based upon the teachings of this disclosure, it is expected that one of ordinary skill in the art will be readily able to practice the present invention. The descriptions of the various embodiments provided herein are believed to provide ample insight and details of the present invention to enable one of ordinary skill to practice the invention. Moreover, the various features and embodiments of the invention described above are specifically contemplated to be used alone as well as in various combinations.
Conventional and/or contemporary circuit design and layout tools may be used to implement the invention. The specific embodiments described herein, and in particular the various circuit arrangements, measurements and data flows, are illustrative of exemplary embodiments, and should not be viewed as limiting the invention to such specific implementation choices. Accordingly, plural instances may be provided for components described herein as a single instance. The determination of a timing parameter, margin and/or other parameters may be made in different parts of the configuration, for example.
While circuits and physical structures are generally presumed, it is well recognized that in modern semiconductor design and fabrication, physical structures and circuits may be embodied in computer readable descriptive form suitable for use in subsequent design, test or fabrication stages as well as in resultant fabricated semiconductor integrated circuits. Accordingly, claims directed to traditional circuits or structures may, consistent with particular language thereof, read upon computer readable encodings (which may be termed programs) and representations of same, whether embodied in media or combined with suitable reader facilities to allow fabrication, test, or design refinement of the corresponding circuits and/or structures. Structures and functionality presented as discrete components in the exemplary configurations may be implemented as a combined structure or component. The invention is contemplated to include circuits, systems of circuits, related methods, and computer-readable (medium) encodings of such circuits, systems, and methods, all as described herein, and as defined in the appended claims. As used herein, a computer readable medium includes at least disk, tape, or other magnetic, optical, or semiconductor (e.g., flash memory cards, ROM) medium that is non-transitory.
The foregoing detailed description has described only a few of the many possible implementations of the present invention. For this reason, this detailed description is intended by way of illustration, and not by way of limitations. Variations and modifications of the embodiments disclosed herein may be made based on the description set forth herein, without departing from the scope and spirit of the invention. It is only the following claims, including all equivalents, which are intended to define the scope of this invention. In particular, even though the main embodiments are described in the context of a specific type of parametric loopback sensor, the teachings of the present disclosure are believed advantageous for use with other types of parametric loopback sensor that may use different circuitry. Moreover, the techniques described herein may also be applied to other types of circuit applications. Accordingly, other variations, modifications, additions, and improvements may fall within the scope of the invention as defined in the claims that follow.
Embodiments of the present invention may be used to fabricate, produce, and/or assemble integrated circuits and/or products based on integrated circuits.
Aspects of the present invention are described herein with reference to flowchart illustrations and/or block diagrams of methods, apparatus (systems), and computer program products according to embodiments of the invention. It will be understood that each block of the flowchart illustrations and/or block diagrams, and combinations of blocks in the flowchart illustrations and/or block diagrams, can be implemented by computer readable program instructions.
The flowchart and block diagrams in the figures illustrate the architecture, functionality, and operation of possible implementations of systems, methods, and computer program products according to various embodiments of the present invention. In this regard, each block in the flowchart or block diagrams may represent a module, segment, or portion of instructions, which comprises one or more executable instructions for implementing the specified logical function(s). In some alternative implementations, the functions noted in the block may occur out of the order noted in the figures. For example, two blocks shown in succession may, in fact, be executed substantially concurrently, or the blocks may sometimes be executed in the reverse order, depending upon the functionality involved. It will also be noted that each block of the block diagrams and/or flowchart illustration, and combinations of blocks in the block diagrams and/or flowchart illustration, can be implemented by special purpose hardware-based systems that perform the specified functions or acts or carry out combinations of special purpose hardware and computer instructions.
The descriptions of the various embodiments of the present invention have been presented for purposes of illustration but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application, or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.
Number | Name | Date | Kind |
---|---|---|---|
4555663 | Shimizu | Nov 1985 | A |
5548539 | Vlach et al. | Aug 1996 | A |
5748509 | Fewster | May 1998 | A |
5774403 | Clark, II et al. | Jun 1998 | A |
5818251 | Intrater | Oct 1998 | A |
5895629 | Russell et al. | Apr 1999 | A |
5956497 | Ratzel et al. | Sep 1999 | A |
5966527 | Krivokapic et al. | Oct 1999 | A |
6172546 | Liu et al. | Jan 2001 | B1 |
6182253 | Lawrence et al. | Jan 2001 | B1 |
6486716 | Minami et al. | Nov 2002 | B1 |
6586921 | Sunter | Jul 2003 | B1 |
6683484 | Kueng et al. | Jan 2004 | B1 |
6807503 | Ye et al. | Oct 2004 | B2 |
6873926 | Diab | Mar 2005 | B1 |
6882172 | Suzuki et al. | Apr 2005 | B1 |
6948388 | Clayton et al. | Sep 2005 | B1 |
7038483 | Suzuki et al. | May 2006 | B1 |
7067335 | Weiner et al. | Jun 2006 | B2 |
7254507 | Dosho et al. | Aug 2007 | B2 |
7288958 | Takagi | Oct 2007 | B2 |
7369893 | Gunderson | May 2008 | B2 |
7443189 | Ramappa | Oct 2008 | B2 |
7455450 | Liu et al. | Nov 2008 | B2 |
7501832 | Spuhler et al. | Mar 2009 | B2 |
7649373 | Tokunaga | Jan 2010 | B2 |
7701246 | Plants et al. | Apr 2010 | B1 |
7818601 | LaBerge | Oct 2010 | B2 |
7877657 | Miller et al. | Jan 2011 | B1 |
7940862 | Tanaka et al. | May 2011 | B2 |
8001512 | White | Aug 2011 | B1 |
8086978 | Zhang et al. | Dec 2011 | B2 |
8170067 | Zerbe et al. | May 2012 | B2 |
8279976 | Lin et al. | Oct 2012 | B2 |
8310265 | Zjajo et al. | Nov 2012 | B2 |
8365115 | Liu et al. | Jan 2013 | B2 |
8418103 | Wang et al. | Apr 2013 | B2 |
8479130 | Zhang et al. | Jul 2013 | B1 |
8633722 | Lai | Jan 2014 | B1 |
8825158 | Swerdlow | Sep 2014 | B2 |
8996937 | Jain et al. | Mar 2015 | B2 |
9275706 | Tam | Mar 2016 | B2 |
9424952 | Seok et al. | Aug 2016 | B1 |
9490787 | Kho et al. | Nov 2016 | B1 |
9536038 | Quinton et al. | Jan 2017 | B1 |
9564883 | Quinton et al. | Feb 2017 | B1 |
9564884 | Quinton et al. | Feb 2017 | B1 |
9632126 | Yoon et al. | Apr 2017 | B2 |
9714966 | Chen et al. | Jul 2017 | B2 |
9760672 | Taneja et al. | Sep 2017 | B1 |
9791834 | Nassar et al. | Oct 2017 | B1 |
9954455 | Lin et al. | Apr 2018 | B2 |
9977078 | Loke | May 2018 | B2 |
9991879 | Huang | Jun 2018 | B2 |
10490547 | Ali et al. | Nov 2019 | B1 |
10509104 | Dato | Dec 2019 | B1 |
10530347 | Tang et al. | Jan 2020 | B2 |
11036266 | Srivastava et al. | Jun 2021 | B2 |
11081193 | Tang | Aug 2021 | B1 |
11409323 | Herberholz et al. | Aug 2022 | B2 |
12013800 | Fayneh et al. | Jun 2024 | B1 |
20040009616 | Huisman et al. | Jan 2004 | A1 |
20040015793 | Saxena et al. | Jan 2004 | A1 |
20040230385 | Bechhoefer et al. | Nov 2004 | A1 |
20040230396 | Ye et al. | Nov 2004 | A1 |
20050053162 | Goishi | Mar 2005 | A1 |
20050104175 | Itano | May 2005 | A1 |
20050114056 | Patel et al. | May 2005 | A1 |
20050134350 | Huang et al. | Jun 2005 | A1 |
20050134394 | Liu | Jun 2005 | A1 |
20050154552 | Stroud et al. | Jul 2005 | A1 |
20050285646 | Rashid | Dec 2005 | A1 |
20060049886 | Agostinelli, Jr.et al. | Mar 2006 | A1 |
20060224374 | Kwon et al. | Oct 2006 | A1 |
20070110199 | Momtaz et al. | May 2007 | A1 |
20070182456 | Agarwal et al. | Aug 2007 | A1 |
20070288183 | Bulkes et al. | Dec 2007 | A1 |
20080071489 | Wissel | Mar 2008 | A1 |
20080074521 | Olsen | Mar 2008 | A1 |
20080144243 | Mariani et al. | Jun 2008 | A1 |
20080147355 | Fields et al. | Jun 2008 | A1 |
20080183409 | Roberts et al. | Jul 2008 | A1 |
20080186001 | Singh et al. | Aug 2008 | A1 |
20080186044 | Singh | Aug 2008 | A1 |
20080216033 | Bucossi et al. | Sep 2008 | A1 |
20080231310 | Vijayaraghavan | Sep 2008 | A1 |
20080262769 | Kadosh et al. | Oct 2008 | A1 |
20090027077 | Vijayaraghavan et al. | Jan 2009 | A1 |
20090076753 | Vijayaraghavan et al. | Mar 2009 | A1 |
20090096495 | Keigo | Apr 2009 | A1 |
20090183043 | Niwa | Jul 2009 | A1 |
20090222775 | Idgunji et al. | Sep 2009 | A1 |
20090230947 | Sumita | Sep 2009 | A1 |
20090244998 | Kim | Oct 2009 | A1 |
20090273550 | Vieri et al. | Nov 2009 | A1 |
20090278576 | Chakravarty | Nov 2009 | A1 |
20090306953 | Liu et al. | Dec 2009 | A1 |
20100153896 | Sewall et al. | Jun 2010 | A1 |
20100251046 | Mizuno et al. | Sep 2010 | A1 |
20100253382 | Wang et al. | Oct 2010 | A1 |
20110093830 | Chen et al. | Apr 2011 | A1 |
20110102091 | Yeric | May 2011 | A1 |
20110109377 | Fujibe et al. | May 2011 | A1 |
20110113298 | Van Den Eijnden | May 2011 | A1 |
20110169537 | Ma | Jul 2011 | A1 |
20110175658 | Nomura | Jul 2011 | A1 |
20110187433 | Baumann et al. | Aug 2011 | A1 |
20110267096 | Chlipala et al. | Nov 2011 | A1 |
20110295403 | Higuchi et al. | Dec 2011 | A1 |
20110315986 | Kaneda et al. | Dec 2011 | A1 |
20120025846 | Minas et al. | Feb 2012 | A1 |
20120038388 | Tseng et al. | Feb 2012 | A1 |
20120051395 | Chen et al. | Mar 2012 | A1 |
20120063524 | Stott | Mar 2012 | A1 |
20120074973 | Baldwin et al. | Mar 2012 | A1 |
20120163074 | Franca-Neto et al. | Jun 2012 | A1 |
20120170616 | Tsai et al. | Jul 2012 | A1 |
20120187991 | Sathe et al. | Jul 2012 | A1 |
20120212246 | Benjamin et al. | Aug 2012 | A1 |
20120217976 | Clarkson | Aug 2012 | A1 |
20120221906 | Shetty et al. | Aug 2012 | A1 |
20120242490 | Ramaswami | Sep 2012 | A1 |
20130088256 | Chlipala et al. | Apr 2013 | A1 |
20130226491 | Miguelanez, II et al. | Aug 2013 | A1 |
20130241690 | Wallace et al. | Sep 2013 | A1 |
20130293270 | Lee et al. | Nov 2013 | A1 |
20130335875 | Baumann | Dec 2013 | A1 |
20140132293 | Abadir et al. | May 2014 | A1 |
20140132315 | Sharma et al. | May 2014 | A1 |
20140143586 | Dalumi et al. | May 2014 | A1 |
20140184243 | Iyer et al. | Jul 2014 | A1 |
20140254734 | Abdelmoneum et al. | Sep 2014 | A1 |
20150061707 | Balasubramanian et al. | Mar 2015 | A1 |
20150077136 | Li | Mar 2015 | A1 |
20150121158 | Wang et al. | Apr 2015 | A1 |
20150199223 | Banerjee et al. | Jul 2015 | A1 |
20150332451 | Amzaleg et al. | Nov 2015 | A1 |
20150355033 | Zhang et al. | Dec 2015 | A1 |
20150365049 | Ozawa et al. | Dec 2015 | A1 |
20160033574 | Serrer et al. | Feb 2016 | A1 |
20160042784 | Rim et al. | Feb 2016 | A1 |
20160072511 | Maekawa | Mar 2016 | A1 |
20160087643 | Nozaki | Mar 2016 | A1 |
20160125434 | Kohn et al. | May 2016 | A1 |
20160131708 | Huang et al. | May 2016 | A1 |
20160153840 | Huang et al. | Jun 2016 | A1 |
20160156176 | Kunz, Jr. et al. | Jun 2016 | A1 |
20160164503 | Kim et al. | Jun 2016 | A1 |
20160203036 | Mezic et al. | Jul 2016 | A1 |
20160254804 | Meng | Sep 2016 | A1 |
20170038265 | Abdelmoneum et al. | Feb 2017 | A1 |
20170093399 | Atkinson et al. | Mar 2017 | A1 |
20170160339 | Jenkins | Jun 2017 | A1 |
20170179173 | Mandai et al. | Jun 2017 | A1 |
20170199089 | Fritchman et al. | Jul 2017 | A1 |
20170199228 | Hsieh et al. | Jul 2017 | A1 |
20170214516 | Rivaud et al. | Jul 2017 | A1 |
20170329391 | Jaffari et al. | Nov 2017 | A1 |
20170344102 | Kolla et al. | Nov 2017 | A1 |
20170345490 | Yoshimoto et al. | Nov 2017 | A1 |
20170364818 | Wu et al. | Dec 2017 | A1 |
20180034549 | Kikuchi | Feb 2018 | A1 |
20180109245 | Takagi | Apr 2018 | A1 |
20180183413 | Wong et al. | Jun 2018 | A1 |
20180365974 | Haas et al. | Dec 2018 | A1 |
20190019096 | Yoshida et al. | Jan 2019 | A1 |
20190117122 | Kurachi et al. | Apr 2019 | A1 |
20190305074 | Kande et al. | Oct 2019 | A1 |
20200028514 | Hanke et al. | Jan 2020 | A1 |
20200203333 | Chen et al. | Jun 2020 | A1 |
20200209070 | Tang et al. | Jul 2020 | A1 |
20200210354 | Fayneh | Jul 2020 | A1 |
20200313664 | Azam et al. | Oct 2020 | A1 |
20220260630 | Fayneh et al. | Aug 2022 | A1 |
20220349935 | Fayneh et al. | Nov 2022 | A1 |
20230098071 | Chonnad et al. | Mar 2023 | A1 |
Number | Date | Country |
---|---|---|
1886668 | Dec 2006 | CN |
101014991 | Aug 2007 | CN |
101241429 | Aug 2008 | CN |
101344898 | Jan 2009 | CN |
102422169 | Apr 2012 | CN |
101915625 | Jul 2012 | CN |
102273077 | Sep 2014 | CN |
105210188 | Dec 2015 | CN |
106959400 | Jul 2017 | CN |
108534866 | Sep 2018 | CN |
113466670 | Oct 2021 | CN |
102007002253 | Jul 2007 | DE |
102012219971 | May 2013 | DE |
102014216786 | Oct 2015 | DE |
962991 | Dec 1999 | EP |
1262755 | Dec 2002 | EP |
2006784 | Dec 2008 | EP |
2060924 | May 2009 | EP |
2413150 | Feb 2012 | EP |
2770313 | Aug 2014 | EP |
S57116228 | Jul 1982 | JP |
2000215693 | Aug 2000 | JP |
2002243800 | Aug 2002 | JP |
2008147245 | Jun 2008 | JP |
2009021348 | Jan 2009 | JP |
2009065533 | Mar 2009 | JP |
2012037238 | Feb 2012 | JP |
2016111563 | Jun 2016 | JP |
101232207 | Feb 2013 | KR |
2013110989 | Oct 2013 | KR |
20150073199 | Jun 2015 | KR |
200914841 | Apr 2009 | TW |
201614256 | Apr 2016 | TW |
201709669 | Mar 2017 | TW |
2005080099 | Sep 2005 | WO |
2013070218 | May 2013 | WO |
2013027739 | Mar 2015 | WO |
2019097516 | May 2019 | WO |
2019102467 | May 2019 | WO |
2019135247 | Jul 2019 | WO |
2019202595 | Oct 2019 | WO |
2019244154 | Dec 2019 | WO |
2020141516 | Jul 2020 | WO |
2020230130 | Nov 2020 | WO |
2021019539 | Feb 2021 | WO |
2021111444 | Jun 2021 | WO |
2021214562 | Oct 2021 | WO |
2022009199 | Jan 2022 | WO |
2022215076 | Oct 2022 | WO |
2023238128 | Dec 2023 | WO |
2024166103 | Aug 2024 | WO |
Entry |
---|
Kan Takeuchi et al; “FEOL/BEOL wear-out estimator using stress-to-frequency conversion of voltage/temperature-sensitive ring oscillators for 28nm automotive MCUs”; IEEE, pp. 265-268, Oct. 20, 2016. 268, doi: 10.1109/ESSCIRC.2016.7598293. |
Kan Takeuchi et al; “Wear-out stress monitor utilising temperature and voltage sensitive ring oscillators” IET Circuits, Devices & Systems. vol. 12 No. 2, pp. 182-188, Jan. 15, 2018. doi: 10.1049/iet-cds.2017.0153. |
Kan Takeuchi et al; “Experimental Implementation of 8.9Kgate Stress Monitor in 28nm MCU along with Safety Software Library for IoT Device Maintenance”; IEEE International Reliability Physics Symposium (IRPS). Mar. 31, 2019. doi: 10.1109/IRPS.2019.8720583. |
Dan Ernst et al; “Razor: circuit-level correction of timing errors for low-power operation,” in IEEE Micro, vol. 24, No. 6, pp. 10-20, Nov.-Dec. 2004, doi: 10.1109/MM.2004.85. |
Dan Ernst et al; “Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation”; Proceedings. 36th Annual International Symposium on Microarchitecture (MICRO-36). Dec. 1, 2003. San Diego, CA, USA, 2003, pp. 7-18, doi: 10.1109/MICRO.2003.1253179. |
James P. Hofmeister, et al, “Ball Grid Array (BGA) Solder Joint Intermittency Detection: SJ BIST™”, IEEE Aerospace Conference Proceedings, Apr. 2008, paper #1148, Version 1. doi: 10.1109/AERO.2008.4526624. |
Paulheim H, Meusel R. “A decomposition of the outlier detection problem into a set of supervised learning problems”, Machine Learning, Sep. 2015, vol. 100 Issue 2, pp. 509-531. DOI 10.1007/s10994-015-5507-y. |
Zhang L, Marron JS, Shen H, Zhu Z., “Singular value decomposition and its visualization”, Journal of Computational and Graphical Statistics, Dec. 2007, vol. 6 Issue 4, pp. 833-854. DOI: 10.1198/106186007X256080. |
Shinkai, Ken-ichi et al. “Device-parameter estimation with on-chip variation sensors considering random variability.”; In 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011), pp. 683-688. IEEE, Jan. 25, 2011. doi: 10.1109/ASPDAC.2011.5722274. |
Weiwei Shan et al. “An improved timing error prediction monitor for wide adaptive frequency scaling”; IEICE Electronics Express, vol. 14, No. 21, pp. 1-6, Oct. 20, 2017. DOI: 10.1587/elex.14.20170808. |
Agilent Technologies; “Clock Jitter Analysis with femto-second resolution”; Jan. 1, 2008. |
Yousuke Miyake et al; “Temperature and voltage estimation using ring-oscillator-based monitor for field test”; IEEE 23rd Asian Test Symposium; pp. 156-161, Nov. 16, 2014. doi: 10.1109/ATS.2014.38. |
Basab Datta at al; “Analysis of a Ring Oscillator Based on Chip Thermal Sensor in 65nm Technology”; Online at: https://web.archive.org/web/20140328234617/http://www-unix.ecs.umass.edu/˜dkumar/ab4_658_report/lab4_report.htm; Sep. 5, 2018. |
Tilman Wolf et al; “Collaborative Monitors for Embedded System Security”. Jan. 1, 2006. First Workshop on Embedded System Security in conjunction with EMSOFT '06, Oct. 26, 2006, Seoul, South Korea. |
Sandeep Kumar Samal et al; “Machine Learning Based Variation Modeling and Optimization for 3D ICs”; J. Inf. Commun. Converg. Eng. 14(4): 258-267, Dec. 2016. DOI: 10.6109/jicce.2016.14.4.258. |
Yin-Nien Chen et al; “Impacts of Work Function Variation and Line-Edge Roughness on TFET and FinFET Devices and 32-Bit CLA Circuits”; J. Low Power Electron. Appl. 2015, 5, 101-115. May 21, 2015. doi: 10.3390/jlpea5020101. |
Yong Zhao et al; “A Genetic Algorithm Based Remaining Lifetime Prediction for a VLIW Processor Employing Path Delay and IDDX Testing”; IEEE; Apr. 12, 2016. 2016 11th International Conference on Design and Technology of Integrated Systems in Nanoscale Era (DTIS), Istanbul, Turkey, 2016, pp. 1-4, doi: 10.1109/DTIS.2016.7483805. |
Vivek S Nandakumar et al, “Statistical static timing analysis flow for transistor level macros in a microprocessor”; 2010, 11th International Symposium on Quality Electronic Design (ISQED), pp. 163-170, Mar. 22, 2010. doi: 10.1109/ISQED.2010.5450412. |
Jing Li et al, “Variation Estimation and Compensation Technique in Scaled LTPS TFT Circuits for Low-Power Low-Cost Applications”; IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28(1): 46-59, Jan. 1, 2009. doi: 10.1109/TCAD.2008.2009149. |
Xie Qing et al “Variation-Aware Joint Optimization of the Supply Voltage and Sleep Transistor Size for 7nm FinFET Technology”; 2014 IEEE 32nd international conference on computer design, pp. 380-385, Oct. 19, 2014. doi: 10.1109/ICCD.2014.6974709. |
Rebaud B et al ,“Timing slack monitoring under process and environmental variations: Application to a DSP performance optimization”; Microelectronics Journal vol. 42 Issue 5, pp. 718-732, Feb. 8, 2011. doi: 10.1016/j.mejo.2011.02.005. |
Dierickx B et al, “Propagating variability from technology to system Level”; 2007 International Workshop on Physics of Semiconductor Devices, Mumbai, India, 2007, pp. 74-79, doi: 10.1109/IWPSD.2007.4472457. |
Zheng K., “A Comparison of Digital Droop Detection Techniques in ASAP7 FinFET”; Research Review. Sep. 2019. |
Hongge Chen, “Novel Machine Learning Approaches for Modeling Variations in Semiconductor Manufacturing,” Master thesis, Jun. 2017. |
Nidhal Selmane, Shivam Bhasin, Sylvain Guilley, Tarik Graba, Jean-Luc Danger. “WDDL is Protected Against Setup Time Violation Attacks.” CHES, Sep. 2009, Lausanne, Switzerland. pp. 73-83. doi: 10.1109/FDTC.2009.40. |
Nidhal Selmane, Shivam Bhasin, Sylvain Guilley, Jean-Luc Danger. “Security evaluation of application-specific integrated circuits and field programmable gate arrays against setup time violation attacks.” IET Inf. Secur., 2011, vol. 5, Iss. 4, pp. 181-190. doi: 10.1049/iet-ifs.2010.0238. |
Jianfeng Zhang et al, “Parameter Variation Sensing and Estimation in Nanoscale Fabrics”; Journal of Parallel and Distributed Computing; vol. 74, Issue 6, pp. 2504-2511, Jun. 1, 2014. https://doi.org/10.1016/j.jpdc.2013.08.005. |
I. A. K. M. Mahfuzul et al, “Variation-sensitive monitor circuits for estimation of Die-to-Die process variation”; 2011 IEEE ICMTS International Conference on Microelectronic Test Structures; pp. 153-157, Apr. 4-7, 2011. doi: 10.1109/ICMTS.2011.5976878. |
Ying Qiao et al, “Variability-aware compact modeling and statistical circuit validation on SRAM test array”; Proceedings vol. 9781, Design-Process-Technology Co-optimization for Manufacturability X, Mar. 16, 2016. https://doi.org/10.1117/12.2219428. |
David Herres, “The Eye Diagram: What is it and why is it used?”; Online at: https://www.testandmeasurementtips.com/basics-eye-diagrams/, Aug. 16, 2016. |
Yu-Chuan Lin et al, “A 10-GB/s Eye-Opening Monitor Circuit for Receiver Equalizer Adaptations in 65-nm CMOS;” in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 28, No. 1, pp. 23-34, Jan. 2020. doi: 10.1109/TVLSI.2019.2935305. |
Mridul Agarwal et al, “Circuit Failure Prediction and Its Application to Transistor Aging”; 5th IEEE VLSI Test Symposium (VTS'07), pp. 277-286, May 6-10, 2007. doi: 10.1109/VTS.2007.22. |
Keith A. Bowman et al, “Energy-Efficient and Metastability-Immune Resilient Circuits for Dynamic Variation Tolerance”; IEEE Journal of Solid-State Circuits vol. 44, Issue 1, pp. 49-63, Jan. 2009. doi: 10.1109/JSSC.2008.2007148. |
Shidhartha Das et al, “A Self-Tuning DVS Processor Using Delay-Error Detection and Correction”; IEEE Journal of Solid-State Circuits; vol. 41, Issue 4, pp. 792-804, Apr. 2006. doi: 10.1109/JSSC.2006.870912. |
Shidhartha Das et al, “Razorll: In Situ Error Detection and Correction for PVT and SER Tolerance” 2008 IEEE International Solid-State Circuits Conference—Digest of Technical Papers, Feb. 3-7, 2008. doi: 10.1109/JSSC.2008.2007145. |
Ramyanshu Datta et al, “On-Chip Delay Measurement for Silicon Debug”; GLSVLSI '04: Proceedings of the 14th ACM Great Lakes symposium on VLSI; pp. 145-148, Apr. 26-28, 2004. https://doi.org/10.1145/988952.988988. |
Alan Drake et al, “A Distributed Critical-Path Timing Monitor for a 65nm High-Performance Microprocessor”; 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers; Feb. 11-15, 2007. doi: 10.1109/ISSCC.2007.373462. |
Matthias Eireiner et al, “In-Situ Delay Characterization and Local Supply Voltage Adjustment for Compensation of Local Parametric Variations”; IEEE Journal of Solid-State Circuits; vol. 42, Issue 7, pp. 1583-1592, Jul. 2007. doi: 10.1109/JSSC.2007.896695. |
Matthew Fojtik et al, “Bubble Razor: An architecture-independent approach to timing-error detection and correction”; 2012 IEEE International Solid-State Circuits Conference; Feb. 19-23, 2012. doi: 10.1109/ISSCC.2012.6177103. |
Matthew Fojtik et al, “Bubble Razor: Eliminating Timing Margins in an ARM Cortex-M3 Processor in 45 nm CMOS Using Architecturally Independent Error Detection and Correction”; IEEE Journal of Solid-State Circuits; vol. 48, Issue 1, pp. 66-81, Jan. 2013. doi: 10.1109/JSSC.2012.2220912. |
Piero Franco et al, “On-Line Delay Testing of Digital Circuits”; Proceedings of IEEE VLSI Test Symposium; Apr. 25-28, 1994. doi: 10.1109/VTEST.1994.292318. |
V. Huard et al, “Adaptive Wearout Management with in-situ aging monitors”; 2014 IEEE International Reliability Physics Symposium; Jun. 1-5, 2014. pp. 6B.4.1-6B.4.11, doi: 10.1109/IRPS.2014.6861106. |
Liangzhen Lai et al, “SlackProbe: A Flexible and Efficient In Situ Timing Slack Monitoring Methodology”; IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems; vol. 33, Issue 8, pp. 1168-1179, Aug. 2014. doi: 10.1109/TCAD.2014.2323195. |
M. Saliva et al, “Digital Circuits Reliability with In-Situ Monitors in 28nm Fully Depleted SOI”; 2015 Design, Automation Mar. 9-13, 2015. doi: 10.7873/DATE.2015.0238. |
Martin Wirnshofer et al, “A Variation-Aware Adaptive Voltage Scaling Technique based on In-Situ Delay Monitoring”; 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems; pp. 261-266, Apr. 13-15, 2011. doi: 10.1109/DDECS.2011.5783090. |
Martin Wirnshofer et al, “An Energy-Efficient Supply Voltage Scheme using In-Situ Pre-Error Detection for on-the-fly Voltage Adaptation to PVT Variations”; 2011 International Symposium on Integrated Circuits; pp. 94-97, Dec. 12-14, 2011. doi: 10.1109/ISICir.2011.6131888. |
Martin Wirnshofer et al, “On-line supply voltage scaling based on in situ delay monitoring to adapt for PVTA variations”; Journal of Circuits, Systems and Computers; vol. 21, No. 08, Mar. 7, 2012. doi: 10.1142/S0218126612400270. |
S. Mhira et al, “Dynamic Adaptive Voltage Scaling in Automotive environment”; 2017 IEEE International Reliability Physics Symposium (IRPS); pp. 3A-4.1-3A-4.7, Apr. 2-6, 2017. doi: 10.1109/IRPS.2017.7936279. |
A. Benhassain et al., “Early failure prediction by using in-situ monitors: Implementation and application results”; Online at: https://ceur-ws.org/Vol-1566/Paper6.pdf, Mar. 18, 2016. |
Charles R. Lefurgy et al, “Active Management of Timing Guardband to Save Energy in Power7”; 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (Micro); pp. 1-11; Dec. 3-7, 2011. |
C. R. Lefurgy et al, “Active Guardband Management in Power7+ to Save Energy and Maintain Reliability”; in IEEE Micro, vol. 33, No. 4, pp. 35-45, Jul.-Aug. 2013. doi: 10.1109/MM.2013.52. |
B. Zandian et al, “Cross-layer resilience using wearout aware design flow”; 2011 IEEE/IFIP 41st International Conference on Dependable Systems & Networks (DSN), pp. 279-290, Jun. 27-30, 2011. doi: 10.1109/DSN.2011.5958226. |
M. Cho et al., “Postsilicon Voltage Guard-Band Reduction in a 22 nm Graphics Execution Core Using Adaptive Voltage Scaling and Dynamic Power Gating”; in IEEE Journal of Solid-State Circuits, vol. 52, No. 1, pp. 50-63, Jan. 4, 2017. doi: 10.1109/JSSC.2016.2601319. |
W. Shan et al, “Timing error prediction based adaptive voltage scaling for dynamic variation tolerance”; 2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), pp. 739-742, Nov. 17-20, 2014. doi: 10.1109/APCCAS.2014.7032887. |
J. Li et al, “Robust and in-situ self-testing technique for monitoring device aging effects in pipeline circuits”; 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1-6, Jun. 1-5, 2014. |
X. Shang et al, “A 0.44V-1.1V 9-transistor transition-detector and half-path error detection technique for low power applications”; 2017 IEEE Asian Solid-State Circuits Conference (A-SSCC), pp. 205-208, Nov. 6-8, 2017. doi: 10.1109/ASSCC.2017.8240252. |
Liangzhen Lai et al, “Accurate and inexpensive performance monitoring for variability-aware systems”; 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 467-473, Jan. 20-23, 2014. doi: 10.1109/ASPDAC.2014.6742935. |
Martin Wirnshofer et al., “Adaptive voltage scaling by in-situ delay monitoring for an image processing circuit”; 2012 IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), pp. 205-208, Apr. 18-20, 2012. doi: 10.1109/DDECS.2012.6219058. |
Youhua Shi et al, “Suspicious timing error prediction with in-cycle clock gating”; International Symposium on Quality Electronic Design (ISQED), pp. 335-340, Mar. 4-6, 2013. doi: 10.1109/ISQED.2013.6523631. |
Youhua Shi et al, “In-situ timing monitoring methods for variation-resilient designs”; 2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), pp. 735-738, Nov. 17-20, 2014. doi: 10.1109/APCCAS.2014.7032886. |
Jongho Kim et al., “Adaptive delay monitoring for wide voltage-range operation”; 2016 Design, Automation & Test in Europe Conference & Exhibition (Date), pp. 511-516, Mar. 14-18, 2016. Europe Conference & Exhibition (Date), pp. 511-516, Mar. 14-18, 2016. |
Xiaobin Yuan et al., “Design Considerations for Reconfigurable Delay Circuit to Emulate System Critical Paths”; in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 23, No. 11, pp. 2714-2718, Nov. 2015. doi: 10.1109/TVLSI.2014.2364785. |