Method for Producing an Integrated Circuit Device with Enhanced Mechanical Properties

Abstract
Devices and methods for producing an integrated circuit device, comprising a front-end-of-line (FEOL) portion and a back-end-of-line (BEOL) portion, are disclosed. The metallization layers comprise dielectric layers, preferably low-k dielectric layers, with metal conductors and/or interconnect structures incorporated within the dielectric layers. In an exemplary device, in at least some of the metallization layers of the BEOL stack, the elastic modulus of the dielectric material varies from one area of the layer to one or more other areas of the layer. In some implementations, a mask layer is applied on the BEOL stack or on one of the metallization layers during fabrication of the stack, the mask layer covering portions of the stack area and exposing other portions of the area. Then, a treatment is performed that changes the elastic modulus of the dielectric material in one or more of the metallization layers, but only in areas uncovered by the mask layer.
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application claims foreign priority to EP Patent Application No. 15175863.8, filed Jul. 8, 2015, which is incorporated herein by reference in entirety.


BACKGROUND

Technological Field


The disclosed technology relates to the production of an integrated circuit (IC) device, also referred to in this description as a chip or semiconductor chip, in particular to process steps which improve the mechanical properties of dielectric materials applied in the IC device, in order to reduce the risk of Chip Package Interaction (CPI).


Description of the Related Technology


Low-k dielectrics, in particular porous low-k materials, are introduced in the back-end-of-line (BEOL) portion of an IC device, to lower the k-value (the dielectric constant) and thereby minimize time delay in the interconnection of the circuitry. Sub-32 nm technology requires ultralow-k porous dielectric materials (k<2.5) for Cu interconnects in the back-end-of-line to reduce the RC delay. However, although the k-value decreases with increasing porosity, as required to minimize RC delay, the mechanical strength of these materials is also reduced, making them susceptible to mechanical damage (cracks, delamination). The packaging process can, due to a mismatch in the coefficient of thermal expansion (CTE) of different materials, cause large shear forces acting especially at the corners of the chip in the BEOL portion, which may lead to cracks in the BEOL portion. In addition, new packaging technology related processes such as micro-bumps, Cu pillars, TSVs introduce local stress in the BEOL portion which can also lead to damage of the weak low-k material underneath. All these problems are typically described as Chip Package Interaction (CPI). With thinning and stacking of chips for 3D technology, this problem becomes even worse. Any mechanical force can very quickly lead to failure of the BEOL layers.


Existing solutions which address CPI related problems include the use of adhesion layers or crack stoppers, adapting the material used for packaging to reduce CTE mismatch, applying stress mitigation or adapting processing temperatures. All these solutions however have a large impact on the structure and/or the process conditions and may thereby generate other disadvantages. There is a need therefore for an alternative method for mitigating CPI problems.


SUMMARY OF CERTAIN INVENTIVE ASPECTS

The disclosed technology relates to a method for producing an integrated circuit device, comprising a front-end-of-line (FEOL) portion comprising a plurality of transistors and/or other semiconductor components, and a back-end-of-line (BEOL) portion, comprising a stack of metallization layers for connecting the FEOL portion to external input/output terminals. The metallization layers comprise dielectric layers, preferably but not limited to low-k dielectric layers, with metal conductors and/or interconnect structures incorporated within the dielectric layers. In a device according to the disclosed technology, in at least some of the metallization layers of the BEOL stack, the elastic modulus of the dielectric material varies from one area of the layer to one or more other areas of the layer. In a method of the disclosed technology, a mask layer is applied on the BEOL stack or on one of the metallization layers during fabrication of the stack, the mask layer covering portions of the stack area and exposing other portions of the area. Then a treatment is performed that changes the elastic modulus of the dielectric material in one or more of the metallization layers, but only in the areas not covered by the mask layer.


Some of the methods and devices consistent with the innovations herein are set out in the appended claims. As such, aspects of the innovations herein may firstly relate to a method for producing an integrated circuit device comprising a front-end-of-line portion and a back-end-of-line portion, the BEOL portion comprising a metallization stack comprising metallization layers, each metallization layer comprising a layer of dielectric material with metal lines and/or metal vias embedded in the dielectric layer, the stack having a stack surface area, the method comprising the steps of:

    • building the metallization stack by a sequence of processing steps wherein subsequent metallization layers are formed,
    • during or after the sequence, producing a mask layer on the partially or fully completed stack, the mask layer covering one or more portions of the stack surface area and leaving one or more portions of the stack surface area exposed,
    • performing a treatment that changes the elastic modulus of the dielectric material of one or more of the metallization layers on which the mask layer is produced, only in the exposed portion(s) of the stack surface area.


In the above method, ‘changing the elastic modulus’ of a dielectric layer in exposed areas of the mask layer does not include complete removal of the dielectric material in the exposed areas.


According to one embodiment, the stack is produced by a sequence of process steps, each step comprising:

    • depositing a layer of the dielectric material,
    • forming the metal lines and/or vias in the dielectric layers by a trench formation and a trench filling process,


      and wherein the mask layer is produced on one of the dielectric layers, before or after the trench formation and filling processes.


According to another embodiment, the stack is formed by a sequence of process steps, each step comprising:

    • depositing a metal layer,
    • patterning the metal layer to form the metal lines and/or vias,
    • depositing the dielectric material to form the metallization layers, and wherein the mask layer is produced on one of the metallization layers, after deposition of the dielectric material.


According to a further embodiment, the dielectric material may be a porous low-k material. The treatment for changing the E-modulus may be a UV radiation treatment, thereby increasing the elastic modulus of the dielectric material of at least the metallization layer closest to the mask layer, in the exposed portion(s) of the stack surface area.


The UV radiation treatment may comprise using essentially monochromatic UV radiation, with a wavelength between 120 nm and 200 nm. When using a UV treatment, the mask layer may be produced on a passivation layer applied on top of the metallization stack.


According to another embodiment, the dielectric material of the layer on which the mask layer is produced is a porous low-k dielectric material, and wherein the treatment may comprise:

    • applying a liquid pore-filling material to the exposed portion(s) of the stack surface area, so as to allow the liquid to penetrate the pores of the dielectric material of the dielectric layer on which the mask layer is produced, in the exposed areas,
    • a heating step to a temperature above the glass-forming temperature of the pore-filling material, thereby increasing the elastic modulus of the dielectric material in the exposed areas of the dielectric layer on which the mask layer is produced.


According to yet another embodiment, the dielectric material of the layer on which the mask layer is produced is a porous low-k material comprising a porogen in the pores of the material, and wherein the treatment is a porogen-removing treatment, configured to remove at least an amount of the porogen from the pores in the exposed portion(s) of the dielectric layer on which the mask layer is produced, thereby decreasing the elastic modulus of the dielectric material in the exposed portions.


The mask layer may be a metal layer. According to another embodiment, the mask layer is a polymer layer.


According to an embodiment, a redistribution layer is applied on top of the metallization stack, the redistribution layer comprising metal structures for connecting the metallization stack to structures that are external to the device, and the metal portions are used as the mask layer.


According to an embodiment, the covered or exposed portion comprises a sub-portion of the stack surface area that comprises a strip running along and including the edge of the stack surface area, and wherein the treatment is chosen so that in at least one of the metallization layers, the dielectric material has a higher elastic modulus in the sub-portion of the stack surface area compared to the dielectric material adjacent to the sub-portion.


According to an embodiment, the mask layer is a shadow mask that is removably positioned in contact with or at a distance from a layer of the partially or fully completed stack or on a passivation layer present on top of the stack.


The innovations herein also relate to an Integrated circuit device comprising a front-end-of-line portion and a back-end-of-line portion, the BEOL portion comprising a metallization stack of metallization layers, each metallization layer comprising a dielectric layer with metal lines and/or metal vias embedded in the dielectric layer, wherein in at least one of the metallization layers of the metallization stack, the elastic modulus of the dielectric layer varies from one area of the dielectric layer to one or more other areas of the dielectric layer. The IC device is obtainable by methods of the disclosed technology. In an IC device consistent with various innovations herein, areas with an E-modulus that differs from the E-modulus of other areas do not include areas where the dielectric material has been completely removed.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 illustrates the various layers and components that form the FEOL and BEOL portions of an IC device, consistent with one or more aspects of the innovations herein.



FIG. 2 shows an embodiment of a mask layer applied on the passivation layer of an IC device, suitable for strengthening the dielectric material in the BEOL portion in an edge area of the IC, consistent with one or more aspects of the innovations herein.



FIG. 3 shows a number of different mask designs applied on a plurality of IC devices, consistent with one or more aspects of the innovations herein.





DETAILED DESCRIPTION OF CERTAIN ILLUSTRATIVE EMBODIMENTS

Throughout this detailed description, unless specifically specified, the description of a layer being present, deposited or produced ‘on’ another layer or substrate, includes the options of

    • the layer being present, produced or deposited directly on, i.e. in physical contact with, the other layer or substrate, and
    • the layer being present, produced or deposited on one or a stack of intermediate layers between the layer and the other layer or substrate, and
    • the layer being removably positioned above the other layer or substrate, either directly on, i.e. in physical contact with the other layer or substrate or at a non-zero distance from the other layer or substrate.


In the context of the present description, low-k materials are defined as dielectrics which have a lower dielectric constant than silicon dioxide (SiO2). In many of the embodiments of the method of the disclosed technology, the material of interest is porous low-k dielectric material, which may be an oxide of a semiconductor material treated to obtain increased pore size and thereby further lower the dielectric constant. Porous low-k dielectric material may be produced by a solgel technique or by deposition of an oxide layer, e.g. by Chemical Vapour Deposition, together with a porogen, a material that can be removed after deposition of the layer so as to create the pores. The treatments used to remove porogens include UV radiation and a plasma treatment, e.g. an atomic hydrogen cure from a remote H2/He plasma at 275° C. and 50 Pa (treatment referred to as ash cure). These treatments are known, as illustrated for example by the document “Mechanical property changes in porous low-k dielectric thin films during processing”, G. Stan et al, Applied Physics Letters 105, 152906 (2014). Within the present context, a porous low-k material is a material with porosity higher than about 10% and up to about 60%, more preferably higher than about 20% and up to about 60% even more preferably higher than about 30% and up to about 60%.


Methods are furthermore known in the state of the art which are configured to increase the elastic modulus of a low-k material. UV curing is known to increase the stiffness of the organosilicate skeleton of a porous SiOC:H layer, see above-cited article by Stan et al. The same article describes increasing the elastic modulus of a porous low k dielectric by filling up the pores with a polymer. This may be done by spin coating a polymer on top of a porous low-k layer and heating the layer to temperatures above the glass forming temperature of the polymer.


The disclosed technology applies some of these methods in combination with a mask layer to obtain local changes of the elastic modulus of a dielectric layer applied in the BEOL portion of a chip. In order to define some of the terminology used in the present description, reference is made to FIG. 1 which shows a typical structure of an integrated circuit device 100, comprising a base substrate 101, a FEOL/BEOL portion 102 and a passivation layer 103. The base substrate 101 may be the thinned portion of a silicon wafer onto which the chip has been produced. The enlarged view illustrates details of these various constituent elements, in particular of the FEOL portion 1 and of the BEOL portion 2. The FEOL portion 1 is obtainable through any known semiconductor process suitable for this purpose and may comprise a plurality of transistors 15 or other active elements, connected to the BEOL portion by metal connection plugs 16. The BEOL portion 2 comprises a stack 20 of metallization layers, each layer comprising a dielectric with metal structures embedded in the dielectric material. Layers 3 comprising metal lines 4 are adjacent to layers 5 comprising metal via connections 6 (hereafter referred to as ‘vias’) connecting the lines 4 to layers that are higher or lower in the stack. Etch stop layers 7 or dielectric capping layers 8 separate adjacent metallization layers. The fabrication of these metallization layers is known per se, and may involve deposition of a dielectric layer followed by creation of trenches and openings, depositing a barrier layer and nucleation layer and electroplating copper for filling the trenches (known as single or double damascene processing). Inversely, the metallization layers 3/5 may be produced by deposition of a metal layer, patterning the metal layer to form the metal lines 4 and/or vias 6, and filling the formed open areas with dielectric material (so-called subtractive process, see further). On top of the device, covering the metallization stack 20 is a passivation layer 103 comprising metal connections 9 for connecting the metal lines and/or vias 4/6 of the BEOL portion 2 to contact structures external to the IC.



FIG. 2 illustrates one IC device 100 as produced on a semiconductor substrate 10, for example, a silicon wafer. The IC is thus still attached to the larger wafer, preferably along with a plurality of other ICs (not shown) that have been produced in the wafer. The stack surface area 30 of the IC is defined as the footprint area of the metallization stack 20 comprised in the BEOL portion, i.e. the area of any cross-section of the stack by a plane parallel to the metallization layers. The disclosed technology is related to the use of a mask layer 21 for changing locally the elastic modulus of one or more dielectric layers of the metallization stack 20, so as to obtain local areas with higher E-modulus, improving the overall mechanical properties of the IC, whilst maintaining in other areas a dielectric with good electrical properties (such as low k and/or high porosity).


According to a first embodiment, the mask is combined with a UV treatment, applied to metallization layers 3/5 comprising preferably a low k dielectric, more preferably a porous low-k dielectric as defined above. In the embodiment of FIG. 2, a mask layer 21 is produced directly on and in contact with the passivation layer 103. The mask layer 21 covers a central portion 19 of the passivation layer 103 and leaves a strip 22 of the passivation layer exposed, the strip 22 running along the edge of the surface area 30. The mask layer 21 thus covers a portion 19 of the surface area 30 of the metallization stack 20, and leaves another portion 22 exposed. The mask 21 is suitable for obstructing UV radiation from reaching the underlying material. The device is then subjected to UV radiation during a time sufficient to realize a strengthening of the dielectric material in each dielectric layer 3/5 of the metallization stack 20, in the exposed area 22 along the edge, i.e. the UV radiation penetrates through the passivation layer 103 and each metallization layer 3/5 of the stack 20.


In some implementations, the present methods may preferably be applied before dicing of the IC device, i.e. after the IC 100 has been produced on the surface of a large semiconductor wafer 10, together with a plurality of the same or similar devices, by subsequent deposition and patterning/processing of the FEOL and BEOL layers of all the devices on the wafer. The passivation layer 103 then covers all the devices on the wafer and the mask layer 21 may be applied on the totality of the passivation layer 103 across the complete wafer and patterned on each of the devices, possibly with different mask patterns for each device or each group of devices. FIG. 3 shows an example of four chips produced on the same wafer, each with differently patterned mask layers 21 deposited on top of the passivation layer. Instead of or in addition to the mask for strengthening the edge strip 22 of the chip, the mask may be designed to strengthen certain regions 23 within the stack surface area 30, or the circumferential area 22 may comprise wider portions 24. The regions 23 and the wider portions 24 may be areas corresponding to functional areas on the chip, for example areas for which the RC delay is less crucial.


Instead of being applied on the passivation layer 103 for UV-treating the total metallization stack 20 of the BEOL portion, the mask layer 21 could be applied during BEOL processing. As stated above, the fabrication of the metallization layers 3/5 may take place by a damascene process, wherein first a dielectric layer is deposited, followed by trench formation and trench filling processes. The mask layer 21 can be applied on the dielectric layer either before or after the trench formation and trench filling processes. In the first case the mask layer is deposited on a blanket dielectric layer. In the second case the mask layer is deposited on a dielectric layer with metal lines and/or via connections embedded therein.


As stated above, the metallization layers may alternatively be produced by the inverse of a damascene, which may be referred to as a subtractive production process which is known per se, and wherein a metal layer, e.g. Al or Cu, is first deposited, patterned to form the metal lines 4 and/or vias 6, after which the dielectric material is deposited in the open areas created by the patterning process. If the metallization layer is produced in this way, the mask layer 21 may be applied on the metallization layer, after completion of the metallization layer in the above-described way.


In either case (damascene or subtractive process), the UV treatment is performed on the layer on which the mask layer is produced, and on the layers underneath it, thereby strengthening the dielectric material of these layers in the areas that are not covered by the mask. This may be useful for strengthening localized areas within the BEOL stack, for example in the vicinity of a number of via connections at which mechanical stresses are likely to become important.


The IC device may be provided with a so-called redistribution layer (RDL), which is basically a pattern of metal lines and/or contact pads provided on top of the metallization stack 20, for re-routing the terminals 9 of the stack towards more suitable locations at which the chip may be connected to external structures, for example to another chip in a 3D chip stack. The metal structures of the RDL are embedded in a dielectric material which may be the same material used for a traditional passivation layer, so the RDL can be regarded as a passivation layer with additional metal lines and other metal structures compared to a traditional passivation layer. According to an embodiment, the metal pattern of the RDL layer itself is used as a mask in the method of the disclosed technology, i.e. the metal portions of the RDL form the mask areas covering the underlying dielectric layers and preventing the dielectric material underneath the covered areas from being strengthened by the UV radiation. Methods consistent with the innovations herein may thus be performed on the IC, after completion of the RDL, and without applying an additional mask layer on top of the RDL.


The UV radiation is preferably monochromatic at a wavelength between 120 nm and 200 nm, with further embodiments applying UV between 130 nm and 180 nm, and between 140 nm and 160 nm. The wavelength defines the shape of the gradient of the elastic modulus at the border between exposed and non-exposed areas. When the wavelength is too high, this gradient is too gradual, and there is no clear separation between exposed and non-exposed areas. When the wavelength is too low, the gradient is too sharp, which may again be a source of local stresses. The applied UV radiation may be uni-directional in the direction perpendicular to the mask layer, as obtainable by suitable and generally known UV sources. The duration of the UV exposure may be between a few seconds up to several (tens of) minutes, depending on the structure (number of dielectric layers, layer thicknesses, etc).


Instead of a treatment by UV radiation, a treatment by e-beam may be applied for obtaining the same effect of strengthening a dielectric material in the areas not covered by the mask. Still another alternative is an ion beam treatment, for example by Ar or Xe ions.


According to another embodiment, which is however applicable only on a single porous dielectric layer 3/5, preferably a low k layer, the mask layer 21 is produced directly on the dielectric layer, when the layer has been produced during the BEOL process as described above (i.e. on a full wafer, before dicing). When a damascene process is used, the mask layer 21 may be produced either before or after trench formation and trench filling. When a subtractive process is used, the mask layer is produced after completion of the metallization layer. When the mask layer 21 is in place, a liquid pore-filling polymer is applied on the wafer, for example by spin-coating the polymer on a rotating wafer. The polymer penetrates into the dielectric material in the areas which are not covered by the mask. After heating to a temperature above the glass forming temperature of the polymer, local areas are created in the dielectric layer, consisting of dielectric material with higher elastic modulus.


According to yet another embodiment, the mask layer 21 is produced directly on a dielectric layer, preferably a low k layer (before or after trench formation and trench filling in a damascene process, or after completion of the metallization layer in a subtractive process), the dielectric material of which was deposited together with a porogen, but wherein the porogen has not been removed, i.e. a layer with a higher elastic modulus compared to a porous dielectric layer. This layer is then subjected to a porogen removal treatment configured to remove the majority of the porogen from the dielectric material in the exposed areas but not from the areas covered by the mask 21. A preferred porogen removal treatment is a downstream H2 plasma treatment, i.e. including blocking of UV from the plasma discharge. In this way, the elastic modulus is decreased in the exposed areas, leaving stronger areas where the mask is covering the dielectric. The mask design may thus be the inverse of the mask designs shown in FIGS. 1 and 2, e.g. a mask layer that covers the strip area 22 of the stack surface area and exposes the central portion 19.


The pore-filling treatment and the porogen removal treatment as described above may be applied with an RDL layer used as the mask layer, but only if the metal pattern of the RDL is produced before embedding the pattern in a dielectric. This may be done for example when the RDL layer is produced by a subtractive process as referred to above: by depositing a metal layer, patterning the metal layer to form the RDL pattern, and filling the open areas by a dielectric. Before the last step, the RDL pattern could then be used as a mask layer for performing the method according to any embodiment of the disclosed technology, including by UV, pore filling and porogen removal in the areas not covered by the RDL pattern.


When the E-modulus is changed by UV treatment, the mask layer 21 is, for example, a metal mask, for example a Ti mask. The thickness of a metal mask can be in the order of 50-100 nm or less. Alternatively, the mask may be a polymer mask, which needs to be much thicker however, in the order of one micron (e.g. for a mask produced from BCB). When the E-modulus is changed by pore filling or porogen removal, the mask layer 21 may be a metal mask or a polymer mask.


In any of the above-described embodiments, the mask layer 21 may be produced according to known techniques, preferably by deposition of a layer on a wafer carrying a plurality of fully or partially finished chips, followed by a lithographic patterning step to form the mask layer 21 on the chip areas of the wafer. After the treatment for changing the E-modulus in the exposed areas, the mask layer 21 may be removed by an equally known removal technique. In any embodiment, the treatment for changing the E-modulus is performed after producing the mask layer and before further processing steps in the device fabrication process. When the mask layer is deposited directly on a metallization layer 3/5, the mask layer therefore needs to be removed after the treatment and before processing of subsequent layers of the metallization stack. When the mask layer is applied on the passivation layer 103, it may be allowed to remain on the passivation layer after the E-modulus treatment.


Instead of producing a mask layer 21 by deposition and lithography on top of the passivation layer 103 or a dielectric layer 3/5 of the BEOL stack, the mask layer 21 may be a shadow mask. This is a mask that is removably positioned above the layer(s) to be treated, i.e. the mask can be re-used several times. When the E-modulus is changed through a UV treatment in combination with a shadow mask, the shadow mask is preferably positioned at a small distance, for example a distance of a few microns from the layer(s) to be treated. The smaller the distance, the sharper will be the gradient between treated and non-treated areas. When the E-modulus is changed through a pore-filling or a porogen removal process in combination with a shadow mask, the shadow mask is preferably positioned in physical contact with the layer to be treated.


In the above the disclosure has mainly been described with reference to a limited number of embodiments. However, as is readily appreciated by a person skilled in the art, other embodiments than the ones disclosed above are equally possible within the scope of the disclosure, as defined by the appended claims.

Claims
  • 1. A method for producing an integrated circuit device comprising a front-end-of-line portion and a back-end-of-line portion, the BEOL portion comprising a metallization stack comprising metallization layers, each metallization layer comprising a layer of dielectric material with metal lines and/or metal vias embedded in the dielectric layer, the stack having a stack surface area, the method comprising the steps of: building the metallization stack by a sequence of processing steps wherein subsequent metallization layers are formed;during or after the sequence, producing a mask layer on the partially or fully completed stack, the mask layer covering one or more portions of the stack surface area and leaving one or more portions of the stack surface area exposed; andperforming a treatment that changes the elastic modulus of the dielectric material of one or more of the metallization layers on which the mask layer is produced, only in the exposed portion(s) of the stack surface area.
  • 2. The method according to claim 1, wherein the stack is produced by a sequence of process steps, each step comprising: depositing a layer of the dielectric material; andforming the metal lines and/or vias in the dielectric layers by a trench formation and a trench filling process, wherein the mask layer is produced on one of the dielectric layers, before or after the trench formation and filling processes.
  • 3. The method according to claim 1, wherein the stack is formed by a sequence of process steps, each step comprising: depositing a metal layer;patterning the metal layer to form the metal lines and/or vias; anddepositing the dielectric material to form the metallization layers, wherein the mask layer is produced on one of the metallization layers, after deposition of the dielectric material.
  • 4. The method according to claim 1, wherein the dielectric material is a porous low-k material.
  • 5. The method according to claim 1, wherein the treatment is a UV radiation treatment, thereby increasing the elastic modulus of the dielectric material of at least the metallization layer closest to the mask layer, in the exposed portion(s) of the stack surface area.
  • 6. The method according to claim 5, wherein the UV radiation treatment comprises using essentially monochromatic UV radiation, with a wavelength between 120 nm and 200 nm.
  • 7. The method according to claim 5, wherein the mask layer is produced on a passivation layer applied on top of the metallization stack.
  • 8. The method according to claim 2, wherein the dielectric material of the layer on which the mask layer is produced is a porous low-k dielectric material, and wherein the treatment comprises: applying a liquid pore-filling material to the exposed portion(s) of the stack surface area, so as to allow the liquid to penetrate the pores of the dielectric material of the dielectric layer on which the mask layer is produced, in the exposed areas; anda heating step to a temperature above the glass-forming temperature of the pore-filling material, thereby increasing the elastic modulus of the dielectric material in the exposed areas of the dielectric layer on which the mask layer is produced.
  • 9. The method according to claim 2, wherein the dielectric material of the layer on which the mask layer is produced is a porous low-k material comprising a porogen in the pores of the material, and wherein the treatment is a porogen-removing treatment, configured to remove at least an amount of the porogen from the pores in the exposed portion(s) of the dielectric layer on which the mask layer is produced, thereby decreasing the elastic modulus of the dielectric material in the exposed portions.
  • 10. The method according to claim 1, wherein the mask layer is a metal layer.
  • 11. The method according to claim 1, wherein the mask layer is a polymer layer.
  • 12. The method according to claim 1, wherein a redistribution layer is applied on top of the metallization stack, the redistribution layer comprising metal structures for connecting the metallization stack to structures that are external to the device, and wherein the metal portions are used as the mask layer.
  • 13. The method according to claim 1, wherein the covered or exposed portion comprises a sub-portion of the stack surface area that comprises a strip running along and including the edge of the stack surface area, and wherein the treatment is chosen so that in at least one of the metallization layers, the dielectric material has a higher elastic modulus in the sub-portion of the stack surface area compared to the dielectric material adjacent to the sub-portion.
  • 14. The method according claim 1, wherein the mask layer is a shadow mask that is removably positioned in contact with or at a distance from a layer of the partially or fully completed stack or on a passivation layer present on top of the stack.
  • 15. An integrated circuit device comprising: a front-end-of-line portion; anda back-end-of-line portion, the BEOL portion comprising a metallization stack of metallization layers, each metallization layer comprising a dielectric layer with metal lines and/or metal vias embedded in the dielectric layer, wherein in at least one of the metallization layers of the metallization stack, the elastic modulus of the dielectric layer varies from one area of the dielectric layer to one or more other areas of the dielectric layer.
  • 16. The method according claim 2, wherein the treatment is a UV radiation treatment, thereby increasing the elastic modulus of the dielectric material of at least the metallization layer closest to the mask layer, in the exposed portion(s) of the stack surface area.
  • 17. The method according to claim 16, wherein the UV radiation treatment comprises using essentially monochromatic UV radiation, with a wavelength between 120 nm and 200 nm.
  • 18. The method according to claim 17, wherein the covered or exposed portion comprises a sub-portion of the stack surface area that comprises a strip running along and including the edge of the stack surface area, and wherein the treatment is chosen so that in at least one of the metallization layers, the dielectric material has a higher elastic modulus in the sub-portion of the stack surface area compared to the dielectric material adjacent to the sub-portion.
  • 19. The method according to claim 3, wherein the dielectric material of the layer on which the mask layer is produced is a porous low-k dielectric material, and wherein the treatment comprises: applying a liquid pore-filling material to the exposed portion(s) of the stack surface area, so as to allow the liquid to penetrate the pores of the dielectric material of the dielectric layer on which the mask layer is produced, in the exposed areas; anda heating step to a temperature above the glass-forming temperature of the pore-filling material, thereby increasing the elastic modulus of the dielectric material in the exposed areas of the dielectric layer on which the mask layer is produced.
  • 20. The method according to claim 3, wherein the dielectric material of the layer on which the mask layer is produced is a porous low-k material comprising a porogen in the pores of the material, and wherein the treatment is a porogen-removing treatment, configured to remove at least an amount of the porogen from the pores in the exposed portion(s) of the dielectric layer on which the mask layer is produced, thereby decreasing the elastic modulus of the dielectric material in the exposed portions.
Priority Claims (1)
Number Date Country Kind
15175863.8 Jul 2015 EP regional