METHODS FOR ETCHING A BOTTOM ANTI-REFLECTIVE COATING LAYER IN DUAL DAMASCENE APPLICATION

Information

  • Patent Application
  • 20070224825
  • Publication Number
    20070224825
  • Date Filed
    December 29, 2006
    17 years ago
  • Date Published
    September 27, 2007
    16 years ago
Abstract
Methods for two step etching a BARC layer in a dual damascene structure are provided. In one embodiment, the method includes providing a substrate having vias filled with a BARC layer disposed on the substrate in an etch reactor, supplying a first gas mixture into the reactor to etch a first portion of the BARC layer filling in the vias, and supplying a second gas mixture comprising NH3 gas into the reactor to etch a second portion of the BARC layer disposed in the vias.
Description

BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.



FIGS. 1A-1C are sectional views of an exemplary dual damascene structures with isolated and dense vias; and



FIGS. 2A-2C are sectional views of another exemplary dual damascene structures;



FIG. 3 is a schematic cross-sectional view of a plasma reactor used according to one embodiment of the invention;



FIG. 4 is a process flow diagram illustrating one embodiment of a method for two step etching method for etching a BARC layer in a dual damascene structure; and



FIGS. 5A-5D are sectional views of a dual damascene structure sequentially etched according to one embodiment of the present invention.


Claims
  • 1. A method for etching a BARC material, comprising: providing a substrate in an etch reactor, the substrate having vias formed in a dielectric layer and filled with a BARC material disposed on the substrate;etching the BARC material in the presence of a first gas mixture through a patterned hardmask to a first elevation defined between a top surface and a bottom surface of the dielectric layer; andsubsequently etching the BARC material in the presence of a second gas mixture comprising NH3 gas.
  • 2. The method of claim 1, wherein the step of etching in the presence of the first gas mixture further comprises: flowing N2 and H2 into the reactor.
  • 3. The method of claim 2, wherein the step of flowing N2 and H2 further comprises: flowing N2 at a rate between about 5 sccm to about 200 sccm; andflowing H2 at a rate between about 5 sccm to about 200 sccm.
  • 4. The method of claim 1, wherein the step of etching in the presence of the first gas mixture further comprises: maintaining a process pressure at between about 5 mTorr to about 200 mTorr;controlling substrate temperature between about 0 degrees Celsius to about 60 degrees Celsius; andapplying a plasma power at between about 300 Watts to about 2000 Watts.
  • 5. The method of claim 1, wherein the step of etching in the presence of the second gas mixture further comprises: flowing at least one of CO and O2 into the reactor.
  • 6. The method of claim 1, wherein the step of etching in the presence of the second gas mixture further comprises: flowing NH3 at a rate between about 5 sccm to about 300 sccm.
  • 7. The method of claim 5, wherein the step of flowing the second gas mixture further comprises: flowing CO at a rate between about 5 sccm to about 500 sccm; andflowing O2 at a rate between about 5 sccm to about 200 sccm.
  • 8. The method of claim 1, wherein the step of etching in the presence of the second gas mixture further comprises: maintaining a process pressure at between about 5 mTorr to about 200 mTorr;controlling substrate temperature between about 0 degrees Celsius to about 60 degrees Celsius; andapplying a plasma power at between about 300 Watts to about 2000 Watts.
  • 9. The method of claim 1, further comprising: patterning the hard mask layer using a fluorine containing gas prior to etching the BARC material.
  • 10. The method of claim 9, further comprising: purging out the residual fluorine containing gas in the reactor by the first gas mixture.
  • 11. The method claim 9, wherein the fluorine containing gas is selected from a group consisting of CF4, CHF3, C2F6, C3F8, C4F8, C5F8, C4F6, SF6 and NF3.
  • 12. A method for etching a BARC material, comprising: providing a substrate having vias formed in a dielectric bulk insulating layer and filled with a BARC material in an etch reactor, the substrate having a patterned hardmask layer disposed thereon;supplying a first gas mixture having N2 and H2 gas into the reactor to etch the BARC material filling in the vias to an elevation defined within a thickness of the dielectric bulk insulating layer; andsubsequently supplying a second gas mixture comprising NH3, CO and O2 gas, into the reactor to etch the BARC material in the vias to a predetermined depth.
  • 13. The method claim 12, wherein the step of proving a substrate further comprising: flowing a gas mixture having a fluorine containing gas into the reactor to pattern the hardmask prior to etching the BARC material.
  • 14. The method of claim 13, wherein the step of supplying the first gas mixture further comprising: flowing the N2 gas at a rate between about 5 sccm to about 200 sccm; andflowing the H2 gas at a rate between about 5 sccm to about 200 sccm.
  • 15. The method of claim 12, wherein the step of supplying the second gas mixture further comprising: flowing the NH3 gas at a rate between about 5 sccm to about 300 sccm;flowing the CO gas at a rate between about 5 sccm to about 500 sccm; andflowing the O2 gas at a rate between about 5 sccm to about 200 sccm.
  • 16. The method of claim 12, wherein the step of supplying a second gas mixture further comprises: reacting with the BARC material by the second gas mixture to form a polymer protection on sidewall or surface of the BARC material.
  • 17. A method for etching a BARC material, comprising: providing a substrate having vias formed in a dielectric bulk insulating layer and filled with a BARC material in an etch filled with a BARC material in an etch reactor, wherein the BARC material has a hard mask layer disposed thereover;supplying a gas mixture having fluorine containing gas into the reactor to etch the hard mask layer using a patterned photoresist layer to expose a surface of the BARC material;supplying a first gas mixture having N2 and H2 gas into the reactor to etch a portion of the BARC material filling in the vias to an elevation defined within a thickness of the dielectric bulk insulating layer; andsubsequently supplying a second gas mixture comprising NH3, CO and O2 gas, into the reactor to etch the BARC material in the vias to a predetermined depth.
Continuations (1)
Number Date Country
Parent 11388232 Mar 2006 US
Child 11617946 US