Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces

Information

  • Patent Grant
  • 7235138
  • Patent Number
    7,235,138
  • Date Filed
    Thursday, August 21, 2003
    20 years ago
  • Date Issued
    Tuesday, June 26, 2007
    17 years ago
Abstract
The present disclosure describes apparatus and methods for processing microfeature workpieces, e.g., by depositing material on a microelectronic semiconductor using atomic layer deposition. Some of these apparatus include microfeature workpiece holders that include gas distributors. One exemplary implementation provides a microfeature workpiece holder adapted to hold a plurality of microfeature workpieces. This workpiece holder includes a plurality of workpiece supports and a gas distributor. The workpiece supports are adapted to support a plurality of microfeature workpieces in a spaced-apart relationship to define a process space adjacent a surface of each microfeature workpiece. The gas distributor includes an inlet and a plurality of outlets, with each of the outlets positioned to direct a flow of process gas into one of the process spaces.
Description
TECHNICAL FIELD

The present invention is related to equipment and methods for processing microfeature workpieces, e.g., semiconductor wafers. Aspects of the invention have particular utility in connection with batch deposition of materials on microfeature workpieces, such as by atomic layer deposition or chemical vapor deposition.


BACKGROUND

Thin film deposition techniques are widely used in the manufacturing of microfeatures to form a coating on a workpiece that closely conforms to the surface topography. In the context of microelectronic components, for example, the size of the individual components in the devices on a wafer is constantly decreasing, and the number of layers in the devices is increasing. As a result, the density of components and the aspect ratios of depressions (e.g., the ratio of the depth to the size of the opening) are increasing. The size of such wafers is also increasing to provide more real estate for forming more dies (i.e., chips) on a single wafer. Many fabricators are currently transitioning from 200 mm to 300 mm workpieces, and even larger workpieces will likely be used in the future. Thin film deposition techniques accordingly strive to produce highly uniform conformal layers that cover the sidewalls, bottoms, and corners in deep depressions that have very small openings.


One widely used thin film deposition technique is chemical vapor deposition (CVD). In a CVD system, one or more precursors that are capable of reacting to form a solid thin film are mixed in a gas or vapor state, and then the precursor mixture is presented to the surface of the workpiece. The surface of the workpiece catalyzes the reaction between the precursors to form a solid thin film at the workpiece surface. A common way to catalyze the reaction at the surface of the workpiece is to heat the workpiece to a temperature that causes the reaction.


Although CVD techniques are useful in many applications, they also have several drawbacks. For example, if the precursors are not highly reactive, then a high workpiece temperature is needed to achieve a reasonable deposition rate. Such high temperatures are not typically desirable because heating the workpiece can be detrimental to the structures and other materials already formed on the workpiece. Implanted or doped materials, for example, can migrate within silicon workpieces at higher temperatures. On the other hand, if more reactive precursors are used so that the workpiece temperature can be lower, then reactions may occur prematurely in the gas phase before reaching the intended surface of the workpiece. This is undesirable because the film quality and uniformity may suffer, and also because it limits the types of precursors that can be used.


Atomic layer deposition (ALD) is another thin film deposition technique. FIGS. 1A and 1B schematically illustrate the basic operation of ALD processes. Referring to FIG. 1A, a layer of gas molecules A coats the surface of a workpiece W. The layer of A molecules is formed by exposing the workpiece W to a precursor gas containing A molecules, and then purging the chamber with a purge gas to remove excess A molecules. This process can form a monolayer of A molecules on the surface of the workpiece W because the A molecules at the surface are held in place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures. The layer of A molecules is then exposed to another precursor gas containing B molecules. The A molecules react with the B molecules to form an extremely thin layer of solid material C on the workpiece W. The chamber is then purged again with a purge gas to remove excess B molecules.



FIG. 2 illustrates the stages of one cycle for forming a thin solid layer using ALD techniques. A typical cycle includes (a) exposing the workpiece to the first precursor A, (b) purging excess A molecules, (c) exposing the workpiece to the second precursor B, and then (d) purging excess B molecules. The purge process typically comprises introducing a purge gas, which is substantially non-reactive with either precursor, and exhausting the purge gas and excess precursor from the reaction chamber in a pumping step. In actual processing, several cycles are repeated to build a thin film on a workpiece having the desired thickness. For example, each cycle may form a layer having a thickness of approximately 0.5–1.0 Å, and thus it takes approximately 60–120 cycles to form a solid layer having a thickness of approximately 60 Å.


One drawback of ALD processing is that it has a relatively low throughput compared to CVD techniques. For example, ALD processing typically takes several seconds to perform each A-purge-B-purge cycle. This results in a total process time of several minutes to form a single thin layer of only 60 Å. In contrast to ALD processing, CVD techniques only require about one minute to form a 60 Å thick layer. In single-wafer processing chambers, ALD processes can be 500%–2000% longer than corresponding single-wafer CVD processes. The low throughput of existing single-wafer ALD techniques limits the utility of the technology in its current state because ALD may be a bottleneck in the overall manufacturing process.


One promising solution to increase the throughput of ALD processing is processing a plurality of wafers (e.g., 20–250) simultaneously in a batch process. FIG. 3 schematically illustrates a conventional batch ALD reactor 10 having a processing enclosure 20 coupled to a gas supply 30 and a vacuum 40. The processing enclosure 20 generally includes an outer wall 22 and an annular liner 24. A platform 60 seals against the outer wall or some other part of the enclosure 20 via a seal 62 to define a process chamber 25. Gas is introduced from the gas supply 30 to the process chamber 25 by a gas nozzle 32 that introduces gas into the main chamber 28 of the process chamber 25. Under influence of the vacuum 40, the gas introduced via the gas nozzle 32 will flow through the main chamber 28 and outwardly into the annular exhaust 26 to be drawn out with a vacuum 40. A plurality of workpieces W, e.g., semiconductor wafers, may be held in the processing enclosure in a workpiece holder 70. In operation, a heater 50 heats the workpieces W to a desired temperature and the gas supply 30 delivers the first precursor A, the purge gas, and the second precursor B as discussed above in connection with FIG. 2.


However, when depositing material simultaneously on a large number of workpieces in an ALD reactor 10 such as that shown in FIG. 3, it can be difficult to uniformly deposit the precursors A and B across the surface of each of the workpieces W. Removing excess precursor from the spaces between the workpieces W can also be problematic. In an ALD reactor such as that shown in FIG. 3, the primary mechanism for removing residual precursor that is not chemisorbed on the surface of one of the workpieces is diffusion. This is not only a relatively slow process that significantly reduces the throughput of the reactor 10, but it also may not adequately remove residual precursor. As such, conventional batch ALD reactors may have a low throughput and form non-uniform films.





BRIEF DESCRIPTION OF THE DRAWINGS


FIGS. 1A and 1B are schematic cross-sectional views of stages in ALD processing in accordance with the prior art.



FIG. 2 is a graph illustrating a cycle for forming a layer using ALD techniques in accordance with the prior art.



FIG. 3 is a schematic representation of a system including a reactor for depositing a material onto a microfeature workpiece in accordance with the prior art.



FIG. 4 is an isometric view of a microfeature workpiece holder in accordance with one embodiment of the invention.



FIG. 5 is a side elevation view of the microfeature workpiece holder of FIG. 4.



FIG. 6 is a schematic cross-sectional view of the microfeature workpiece holder of FIGS. 4 and 5 taken along line 66 of FIG. 5.



FIG. 7 is a schematic cross-sectional view of the microfeature workpiece holder of FIGS. 4–6 taken along line 77 of FIG. 6.



FIG. 8 is a schematic cross-sectional view of the microfeature workpiece holder of FIGS. 4–7 taken along line 88 of FIG. 6.



FIG. 9 is a schematic side elevation view of a microfeature workpiece holder in accordance with another embodiment of the invention.



FIG. 10 is a schematic isometric view of a microfeature workpiece holder in accordance with yet another embodiment of the invention.



FIG. 11 is a schematic side elevation view of the microfeature workpiece holder of FIG. 10.



FIG. 12 is a schematic cross-sectional view of the microfeature workpiece holder of FIGS. 10 and 11 taken along line 1212 of FIG. 11.



FIG. 13 is a schematic cross-sectional view of a portion of the microfeature workpiece holder of FIGS. 10–12 taken along line 1313 of FIG. 12.



FIG. 14 is a schematic cross-sectional view of a portion of microfeature workpiece holder of FIGS. 10–13 taken along line 1414 of FIG. 12.



FIG. 15 is a schematic illustration of a microfeature workpiece processing system in accordance with a further embodiment of the invention.



FIG. 16 is a schematic illustration of a microfeature workpiece processing system in accordance with another embodiment of the invention.



FIG. 17 is a schematic illustration of a microfeature workpiece processing system in accordance with yet another embodiment of the invention.





DETAILED DESCRIPTION

A. Overview


Various embodiments of the present invention provide microfeature workpiece holders, systems including processing chambers, and methods for depositing materials onto microfeature workpieces. Many specific details of the invention are described below with reference to reactors for depositing materials onto microfeature workpieces. The term “microfeature workpiece” is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, read/write components, and other devices are fabricated. For example, microfeature workpieces can be semiconductor wafers such as silicon or gallium arsenide wafers, glass substrates, insulative substrates, and many other types of materials. The microfeature workpieces typically have submicron features with dimensions of 0.05 microns or greater. Furthermore, the term “gas” is used throughout to include any form of matter that has no fixed shape and will conform in volume to the space available, which specifically includes vapors (i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature). Several embodiments in accordance with the invention are set forth in FIGS. 4–17 and the following text to provide a thorough understanding of particular embodiments of the invention. A person skilled in the art will understand, however, that the invention may have additional embodiments, or that the invention may be practiced without several of the details of the embodiments shown in FIGS. 4–17.


Certain embodiments of the invention provide microfeature workpiece holders that are adapted to hold a plurality of microfeature workpieces, e.g., for chemical processing such as ALD. These workpiece holders may include a gas distributor comprising one or more gas delivery conduits. The gas delivery conduit may have an inlet and a plurality of outlets, which may be positioned to direct a flow of process gas into the spaces between the workpieces. This can facilitate more uniform distribution of reaction precursors on a microfeature workpiece surface, for example. The distribution of these outlets may also facilitate rapid and effective purging of the space between the workpieces.


Other embodiments of the invention provide microfeature workpiece processing systems that may include an enclosure defining a process chamber, a removable microfeature workpiece holder disposed in the processing chamber, and a process gas supply conduit. The workpiece holder may be similar to the workpiece holders described above and include a gas distributor having outlets adapted to direct a flow of gas from the process gas supply between the microfeature workpieces.


Other embodiments of the invention provide methods of depositing materials on microfeature workpieces. Although a number of methods are described below, one method having particular utility in connection with ALD includes positioning a microfeature workpiece holder in a process chamber, with the microfeature workpiece holder supporting a plurality of workpieces to define process spaces between each pair of adjacent workpieces. A first precursor gas may be delivered to the process chamber to deposit a quantity of the first precursor gas on a surface of each of the microfeature workpieces. A purge gas may be delivered to the microfeature workpiece holder. The microfeature workpiece holder may carry a gas distributor that delivers a first flow of the purge gas transversely into the space between a pair of workpieces and delivers a second flow of the purge gas transversely into a process space between another pair of workpieces. The method may further include delivering a second precursor gas to the process chamber; the second precursor gas reacts with the quantity of the first precursor gas to form a layer of material on the surfaces of the workpieces.


For ease of understanding, the following discussion is subdivided into three areas of emphasis. The first section discusses microfeature workpiece holders in accordance with selected embodiments of the invention. The second section describes aspects of microfeature workpiece processing systems in other embodiments of the invention. The third section discusses outlines methods in accordance with other aspects of the invention.


B. Microfeature Workpiece Holders



FIGS. 4–8 schematically illustrate a microfeature workpiece holder 100 in accordance with one embodiment of the invention. This microfeature workpiece holder 100 generally includes a base 110, a plurality of columns 120, and a cap 150. The particular embodiment shown in FIGS. 4–8 employs 3 columns, namely columns 120a, 120b and 120c. The base 110 and the cap 150 are each generally semicircular in shape and the columns 120a–c are spaced approximately 90° from one another so that the two outer columns 120a and 120c are generally diametrically opposed to one another. It should be recognized that this is simply one possible embodiment that may be useful in connection with microfeature workpieces that are generally circular in shape. In other embodiments, more or fewer columns 120 may be employed. In addition, the base 110 and/or the cap 150 may take the form of a solid plate or disk or have any other desired shape. In other embodiments, only one of the base 110 or cap 150 is employed. For example, the cap 150 may be omitted and the base 110 may provide the requisite support for the columns 120.


Each of the columns 120 in the microfeature workpiece holder 100 is generally circular in cross-section. In other embodiments, the columns may have other shapes. For example, the columns 120 may be generally wedge-shaped, such as those suggested in PCT International Publication No. WO 02/095807 entitled, “Silicon Fixtures Useful for High Temperature Wafer Processing,” the teachings of which are incorporated herein by reference.


Each of the columns 120 includes a plurality of workpiece supports spaced longitudinally along its length. In the illustrated embodiment, these workpiece supports comprise slots 122 that extend into the body of the column 120. In other embodiments, the workpiece holders may comprise inwardly-extending fingers, rings, clamps, or other workpiece-supporting structures known in the art, e.g., supports used in semiconductor wafer handling and processing equipment. FIGS. 4 and 5 show columns with a limited number of slots 122. Depending on the application in which the workpiece holder 100 is used, the columns 120 may include fewer or more slots.


The size and shape of the slots 122 can be modified as desired. In one embodiment, each of the slots 122 is adapted to receive an edge portion of one of the microfeature workpieces (as suggested in FIG. 5). A single slot 122 may not be deep enough to receive a sufficient portion of a microfeature workpiece W to support the workpiece W. In the illustrated embodiment, the slots 122 on each of the columns 120a–c are positioned relative to one another to cooperatively support the workpieces W. As illustrated in FIG. 5, each slot 122 may be generally horizontally aligned with a corresponding one of the slots 122 on each of the other two columns 120. This permits a workpiece W to be supported at three separate peripheral locations to enhance the support of each of the workpieces W. If these slots 122 are spaced the same distance along the length of each of the columns 120, the slots 122 may support a plurality of microfeature workpieces W in a spaced-apart, generally parallel relationship. The spaced-apart relationship of the workpieces W will define a process space S between each of the workpieces W.


As shown schematically in FIG. 5, the microfeature workpiece holder 100 also includes a gas distributor 130. This gas distributor 130 includes at least one gas delivery conduit 134 adapted to direct a flow of process gas relative to the workpieces W. In one embodiment, a single gas delivery conduit 134 is employed. In the particular embodiment shown schematically in FIG. 5, the gas distributor 130 includes a manifold 132 connecting a plurality of gas delivery conduits 134a–c. The manifold 132 may comprise an arcuate fluid passageway formed in the arcuate base 110 that provides fluid communication between a gas inlet 140 in the base 110 and each of the gas delivery conduits 134a–c. In the illustrated embodiment, a separate gas delivery conduit 134 is associated with each of the columns 120. Hence, a first gas delivery conduit 134a is carried by the first column 120a, a second gas delivery conduit 134b is carried by a second column 120b, and a third gas delivery conduit 134c is carried by the third column 120c. In some embodiments, one or more of the columns 120 may not include a gas delivery conduit 134. In other embodiments, more than one gas delivery conduit 134 may be carried by each column 120.


As best seen in FIGS. 6–8, the gas delivery conduit 134b may comprise an internal lumen formed in the second column 120b. (Although FIGS. 6–8 only illustrate the second column 120b, the structure of the other columns 120a and 120c may be substantially the same. Hence, the following discussion generically refers to a column 120 and a gas delivery conduit 134.) The gas delivery conduit 134 includes a plurality of transverse passages 136, each of which directs fluid from the gas delivery conduit 134 to one of a plurality of outlets 138. These outlets 138 are disposed between two adjacent slots 122. The gas delivery conduit 134, including each of the transverse passages 136 is generally circular in cross-section and the outlets 138 define generally circular openings. The size and shape of the gas delivery conduits 134 and outlets 138 in the microfeature workpiece holder 100 can be varied, though. In other embodiments, for example, the outlets 138 may comprise ellipses or slots having a transverse dimension longer than a longitudinal dimension or include a directional nozzle (not shown).


When the microfeature workpieces W are loaded in the microfeature workpiece holder 100, they will define a series of process spaces S. At least one outlet 138 is desirably associated with each of these process spaces S. In the illustrated embodiment, one outlet 138 is positioned between each pair of adjacent slots 122 on each of the columns 120a–c. As a consequence, three outlets 138 are associated with each process space, with one outlet being associated with each of the columns 120a–c supporting the workpieces W. Directing transverse gas flows into the processing spaces S can further enhance the flow of process gas from the gas distributor 130 into and through the processing spaces S.


Referring back to FIG. 4, each of the outlets 138 may be directed inwardly toward a central axis A (in FIG. 4) of the microfeature workpiece holder 100. Consequently, when the workpieces W are positioned in the holder 100, each of the outlets 138 will be positioned to direct a flow of process gas inwardly toward a center of one of the workpieces W. This is expected to further enhance the uniformity of material deposition and/or decrease the time needed to purge the system.


The microfeature workpiece holder 100 can be formed of any material that is suitable in light of the microfeature workpieces W with which it will be used and the anticipated conditions of use. If the microfeature workpieces W comprise semiconductor wafers, for example, the microfeature workpiece holder 100 may be formed from glass, fused silica (e.g., fused quartz), or polysilicon (i.e., polycrystalline silicon), among other materials. For other types of applications that may be less sensitive to contamination, the microfeature workpiece holder 100 may be formed of a metal, a ceramic, or a suitably stiff and durable polymeric material.



FIG. 9 schematically illustrates a microfeature workpiece holder 102 in accordance with another embodiment of the invention. This microfeature workpiece holder 102 is similar in many respects to the microfeature workpiece holder 100 shown in FIGS. 4–8 and like reference numbers are used in FIGS. 4–9 to illustrate like elements.


One difference between the microfeature workpiece holders 100 and 102 relates to the design of the gas distributor. The gas distributor 130 shown in FIG. 5 employs a single gas inlet 140 that communicates with each of the gas delivery conduits 134a–c through a common manifold 132. The microfeature workpiece holder 102 of FIG. 9 does not include a manifold 132. Instead, the gas distributor 131 in FIG. 9 has a separate gas inlet 140 for each of the gas delivery conduits 134. Hence, one inlet 140a is in fluid communication with a first one of the gas delivery conduits 134a, a second gas inlet 140b is in fluid communication with a second gas delivery conduit 134b, and a third gas inlet 140c is in fluid communication with a third gas delivery conduit 134c. In this design, each of the gas delivery conduits 134 may be adapted to deliver a process gas flow that is independent of the process gas flow delivered through each of the other conduits 134. As noted below, this may permit a different process gas to be delivered through each of the conduits 134a–c. In the context of the ALD process outlined above in connection with FIGS. 1 and 2, for example, one of the gas delivery conduits (e.g., conduit 134a) may be dedicated to delivering the first precursor gas A, a second one of the gas delivery conduits (e.g., conduit 134b) may be used to deliver the second precursor gas B, and the third gas delivery conduit 134c may be used to deliver the purge gas.



FIGS. 10–14 schematically illustrate a microfeature workpiece holder 200 in accordance with another embodiment of the invention. This microfeature workpiece holder 200 generally includes a base 210, a cap 250, and at least one column 220 extending between the base 210 and the cap 250. The illustrated embodiment employs three columns 220a220c that are spaced generally equiangularly in a manner similar to that described above in connection with the microfeature workpiece holder 100 of FIG. 4. Each of the columns 220a–c may include a series of longitudinally-spaced slots 222 oriented inwardly toward a central axis A of the microfeature workpiece holder 200. Each of these slots 222 may be adapted to receive an edge portion of a microfeature workpiece W (omitted in FIGS. 10–14 for ease of understanding). Each of the slots 222 in a particular column 220 may be positioned relative to a corresponding slot 222 in each of the other columns 220 so the three corresponding slots 222 can cooperatively support a microfeature workpiece W.


One of the differences between the microfeature workpiece holders 100 and 200 of FIGS. 4–8 and 1014, respectively, relates to the relationship of the gas distributor to the columns of the workpiece holder. In the workpiece holder 100 of FIGS. 4–8, the gas distributor 130 includes several gas delivery conduits 134, each of which comprises an internal lumen of one of the columns 120. The microfeature workpiece holder 200 of FIGS. 10–14, in contrast, has substantially solid columns 220. As suggested in FIGS. 12 and 14, the columns 220 may have a solid interior with a series of spaced-apart slots 222 formed in an inwardly-oriented surface of the column.


Referring to FIG. 11, the microfeature workpiece holder 200 also includes a gas distributor, generally designated as reference number 230, that includes a series of gas delivery conduits 234. In particular, a first gas delivery conduit 234a is carried with respect to the first column 220a, a second gas delivery conduit 234b is carried with respect to a second column 220b, and a third gas delivery conduit 234c is carried with respect to a third column 220c. The position of each of the gas delivery conduits 234 may be fixed relative to the adjacent column 220 in any desired fashion. In one embodiment, the gas delivery conduits 234 may be supported entirely by the base 210 and/or the cap 250. In the particular embodiments shown in FIGS. 10 and 11, a base portion 242 of each of the conduits 234 is received within and passes through an opening in the base 210, but the opposite end of the gas delivery conduit 234 is spaced from the cap 250. In another embodiment, each of the conduits 234 is attached to the cap 250, as well. As shown in FIGS. 11 and 12, each of the gas delivery conduits 234 in the illustrated embodiment is positioned immediately adjacent to one of the columns 220. In such an arrangement, each of the conduits 234 may be attached to or otherwise physically supported by the adjacent column 220. In other embodiments (not shown), the conduits 234 may be attached only to an adjacent column 220 without being directly attached to the base 210 or the cap 250. In still other embodiments, the gas delivery conduits 234 need not be positioned close to any of the columns 220. For example, one gas delivery conduit 234 may be positioned between the first two columns 220a and 220b and a second gas delivery conduit 234 may be positioned between the second and third columns 220b and 220c.


The gas delivery conduits 234 provide a fluid pathway between a gas inlet 240 and a plurality of gas outlets 238. As illustrated in FIG. 13, the gas delivery conduits 234 (in this case, gas delivery conduit 234b) may include a longitudinally extending channel 235 and a series of transverse pathways 236 extending transversely inwardly toward the axis (axis A in FIG. 10) of the microfeature workpiece holder 200. The outlets 238 of these pathways 236 may be positioned laterally along the length of the respective conduit 234 so each outlet 238 can direct a flow of process gas intermediate a pair of adjacent slots 222. When the microfeature workpieces W (not shown in FIGS. 10 and 11) are positioned in the microfeature workpiece holder 200, these outlets 238 would, therefore, direct a flow of process gas into the process space (S in FIG. 5) defined between two adjacent microfeature workpieces W.


The gas distributor 230 of FIGS. 10–14 includes a separate gas inlet 240 for each of the gas delivery conduits 234. In an alternative embodiment, two or more of the gas delivery conduits 234 may communicate with a common inlet 240 via a manifold (not shown) in the base 210, similar to the manifold 132 in the microfeature workpiece holder 100 discussed above.


C. Microfeature Workpiece Processing Systems


The microfeature workpiece holders 100, 102, and 200 may be used for a variety of processes. FIGS. 15–17 schematically illustrate select microfeature workpiece processing systems that employ microfeature workpiece holders to process a batch of microfeature workpieces simultaneously. The microfeature workpiece holders employed in these processing systems may, in select embodiments, employ features of the microfeature workpiece holders 100, 102, and/or 200 described above.



FIG. 15 schematically illustrates a microfeature workpiece processing system 300 in accordance with one embodiment of the invention. This system 300 includes a reactor 310 adapted to receive a plurality of microfeature workpieces W in a holder. In the specific embodiment shown in FIG. 15, the workpieces W are carried in a workpiece holder 100 substantially as described above in connection with FIGS. 4–8.


The reactor 310 generally includes an enclosure 320 defined by a wall 322 and a holder-supporting platform 326. The wall 322 may sealingly engage the platform 326, illustrated schematically in FIG. 15 as an O-ring seal 324. This will define a process chamber 325 within which the microfeature workpiece holder 100 may be received. The reactor 310 may also include a heater 330 and a vacuum 340 that communicates with the process chamber 325 by a vacuum line 342. The heater 330 may be of any conventional design, e.g., an inductance heater or the like.


A gas supply system 350 of the reactor 310 generally includes a plurality of individual gas supplies 352, with at least one gas supply 352 provided for each of the process gases used in processing workpieces W in the system 300. The illustrated embodiment includes a first gas supply 352a to deliver a first gas (GAS1), a second gas supply 352b adapted to deliver a second gas (GAS2), and a third gas supply 352c adapted to deliver a third gas (GAS3). In the context of ALD such as that discussed above in connection with FIGS. 1 and 2, the first gas supply 352a may provide a supply of the first precursor A, the second gas supply 352b may provide a supply of the second precursor B, and the third gas supply 352c may provide a supply of the purge gas. Each of the individual gas supplies 352a–c may be provided with an individual gas supply line 356a–c, respectively. These individual supply lines 356a–c are coupled to a primary gas supply line 356. In the illustrated embodiment, each of the individual gas supply lines 356a–c is provided with a selectively controllable secondary valve 354a–c, respectively. These secondary valves may be used to control the flow rate of the gas from each of the individual gas supply 352 into the main gas supply line 356, hence regulating the composition and flow rate of gas to the gas supply line 356.


The gas distributor 130 of the holder 100 may be coupled to the gas supply system 350 in a variety of manners. In the schematic illustration of FIG. 15, a gas fitting 360 in the platform 326 may be releasably coupled to the gas inlet 140 of the holder 100. This fitting 360 is coupled to the remainder of the gas supply 350 by a supply line 356.


The flow of gas through the supply line 356 to the gas distributor 130 of the holder 100 may be controlled, at least in part, by a main valve 362 that is under the control of a controller 370. The controller 370 may take any of a variety of forms. In one embodiment, the controller 370 comprises a computer having a programmable processor programmed to control operation of the system 300 to deposit material on the workpieces W. The controller 370 may also be operatively coupled to the secondary valves 354a–c to control the composition of the gas delivered to the main valve 362 via the supply line 356. The controller 370 may also be coupled to the vacuum 340 (as illustrated) or any other component of the processing system 300, e.g., the heater 330.



FIG. 16 schematically illustrates a microfeature workpiece processing system 302 in accordance with an alternative embodiment of the invention. This processing system 302 is similar in many respects to the processing system 300 of FIG. 15 and like reference numbers are used in FIGS. 15 and 16 to indicate like elements. The processing system 300 uses the microfeature workpiece holder 100 of FIGS. 4–8. The workpieces W in processing system 302 of FIG. 16 are instead held in the microfeature workpiece holder 102 of FIG. 9. As noted above, the gas distributor 131 of this holder 102 has a series of independent gas delivery conduits 134, each of which has a separate gas inlet 140.


The gas supply 351 of FIG. 16 is similar in many respects to the gas supply 350 of FIG. 15. In FIG. 15, each of the individual gas supply lines 356a–c were joined into a main supply line 356 for delivery to a single gas fitting 360. In the gas supply 351 of FIG. 16, however, each of the individual gas supplies 352a–c is independently coupled to a separate gas fitting 360a–c, respectively. In particular, a first gas fitting 360a may releasably couple the first gas supply line 356a to the first inlet 140a, a second gas fitting 360b may releasably couple a second gas supply line 356b to the second gas inlet 140b, and a third gas fitting 360c may releasably couple a third gas supply line 356c to the third gas inlet 140c. The flow of gas from each of the gas supplies 352a–c may be independently controlled by a separate valve 354a–c through a common controller 370. Introducing each of the process gases through an independent, dedicated gas delivery conduit 134 can avoid the need to purge the gas delivery conduit 134 after delivering one precursor and before delivering another precursor. This may be advantageous in CVD applications because it permits the precursor gases to be introduced separately into the process chamber 325, more effectively restricting the deposition of the reactant to the vicinity of the workpieces W.



FIG. 17 illustrates a microfeature workpiece processing system 400 in accordance with still another embodiment of the invention. This processing system 400 generally includes a reactor 410 having a processing enclosure 420 within which a workpiece holder (e.g., workpiece holder 100 of FIGS. 4–8) carrying one or more workpieces W may be received. The processing enclosure 420 is generally defined by an outer wall 422 and a platform 426 adapted to carry the workpiece holder 100. This processing enclosure 420 also includes a liner 424 that functionally divides the process chamber 425 into a main chamber 427 and a generally annular exhaust 428 coupled to the vacuum 440 by a vacuum line 442. The reactor 410 may also include a heater 430.


The processing system 400 may include a first gas supply system 450 and a second gas supply system 460. The first gas supply system 450 includes a plurality of individual gas supplies 452a–c, each of which may include a separate process gas. Hence, the first gas supply 452a may include a precursor gas A (GAS1) and a second gas supply 452b may provide a supply of a second precursor gas B (GAS2). Optionally, the first gas supply system 450 may also include a supply of a purge gas (GAS3) in a third gas supply. Each of these individual gas supplies 452a–c may be coupled to a common gas supply line 456. A separate valve 454a, 454b, or 454c may be operated by a controller 470 to control the flow of gas from the individual gas supplies 452a, 452b, and 452c, respectively.


The gas supply line 456 of the first gas supply system 450 may be in fluid communication with one or more gas supply nozzles 458. The gas supply nozzle 458 may be adapted to deliver a flow of process gas to the main chamber 427 outside the process spaces S of the process chamber 425. This gas may flow generally longitudinally through the main chamber 427 then out of the process chamber 425 via the annular exhaust 428. This gas supply system 450 is, in some respects, analogous to the gas supply 30 and gas nozzle 32 illustrated in FIG. 3.


The microfeature workpiece processing system 400 also includes a second gas supply system 460. This gas supply system 460 may be adapted to deliver one or more process gases to the process chamber 425 via the gas distributor 130 of the workpiece holder 100. Gas will exit the outlets 138 of the gas distributor 130 in a direction transverse to the longitudinally directed flow from the nozzle 458. In the specific embodiment shown in FIG. 17, the second gas supply system 460 includes a single individual gas supply 462 containing a purge gas (GAS3). The individual gas supply 462 is coupled to a gas fitting 468 in the platform 426 by a valve 464 operatively linked to the controller 470. The gas fitting 468 is adapted to be releasably coupled to the inlet 140 of the gas distributor 130. Although the second gas supply system 460 shown in FIG. 16 only provides a supply of a single purge gas, this gas supply system 460 may include two or more individual gas supplies 462 to provide a variety of different process gas compositions to the gas distributor 130.


D. Methods of Depositing Materials On Microfeature Workpieces


As noted above, other embodiments of the invention provide methods of processing microfeature workpieces. In the following discussion, reference is made to the particular microfeature workpiece processing systems 300, 302, and 400 shown in FIGS. 15–17. It should be understood, though, that reference to these particular processing systems and the workpiece holders used therein is solely for purposes of illustration and that the methods outlined below are not limited to any particular workpiece holder or processing system shown in the drawings or discussed in detail above. In addition, the following discussion focuses primarily on ALD and also touches on possible CVD applications. It should be recognized that the processes outlined below should not be limited to these specific deposition processes. Indeed, aspects of the methods outlined below may have utility in applications in which a process other than material deposition, e.g., selective etching, may be carried out.


In accordance with one embodiment, a method of processing microfeature workpieces may include positioning a microfeature workpiece holder 100 in a process chamber 325 (using the processing system 300 as an example). The workpiece holder 100 may support a plurality of workpieces W in a spaced-apart relationship to define a process space S between each pair of adjacent workpieces W. The microfeature workpiece holder 100 may be positioned in the process chamber by placing the holder 100 on a platform 326. In one embodiment, the gas fitting 360 may be coupled to the gas inlet 140 of the gas distributor 130 at this time. Once the holder 100 is in place on the platform 326, the platform 326 may be moved toward the wall 322 until the seals 324 substantially seal the enclosure 320 to define the process chamber 325.


This embodiment also includes delivering at least a first process gas and a second process gas to the process chamber 325. In one embodiment, the first process gas, e.g., a first precursor A, may be delivered to the process chamber 325 by opening the first regulator valve 354a and the main valve 362. This will allow the first gas to flow into the gas distributor 130 and outwardly into the process chamber 325 via the outlets 138. As noted above, these outlets 138 will deliver a flow of the process gas transversely into the process spaces S between the workpieces W.


The second process gas may be delivered to the process chamber 325 either simultaneously with delivery of the first process gas (e.g., for CVD) or at a different time. If the processing system 300 of FIG. 15 is used to deposit a material via ALD, for example, a flow of the first precursor gas A from the first supply 352a may be terminated by closing the valve 354a. A flow of purge gas from the third gas supply 352c may be delivered into the process spaces S by opening the associated regulator valve 154c. The regulator valve 154c may be closed and a flow of the second precursor gas B from the second gas supply 352b may then be introduced via the nozzles 138. By appropriate control of the valves 354 and 362 and the vacuum 340, the controller 370 may be used to deposit a reaction product via an ALD process such as that discussed above in connection with FIGS. 1 and 2.


Delivering process gases transversely into the process space S between the workpieces W via the outlets 138 can fairly rapidly change the gas present in the process space S. In the conventional system of FIG. 3, one of the primary mechanisms for gas exchange in the spaces between the workpieces W is diffusion. Delivering a transverse flow of gas in accordance with embodiments of the present invention can deliver a more uniform application of the precursors to be chemisorbed or reacted on the surface of the workpiece W. Delivering the purge gas through the outlets 138 will also provide a more active scrubbing of the gas in the process spaces S, helping clear the process spaces S of any excess precursor gas fairly quickly. This can materially speed up the purge phases of the process illustrated in FIG. 2.


The processing system 300 of FIG. 15 introduces process gases through a single inlet 140 and the gas distributor 130 of the workpiece holder 100. The processing system 302 of FIG. 16 allows each of the process gases (gas1-3) to be introduced into the process chamber 325 through a separate gas delivery conduit 134a, 134b, or 134c. This can be particularly useful in both ALD and CVD applications. By separating the pathways for delivery of the reaction precursors into separate conduits 134, less care need be taken to purge the gas delivery system of the first precursor before introducing a second precursor through the same gas delivery system. In the context of CVD, the gas can be introduced separately and allowed to mix in the process space S and react in the immediate vicinity of the workpiece W. This may facilitate use of more highly reactive precursors, which may be problematic if the precursors are introduced together through a common gas delivery path.


In another specific embodiment, one of the process gases may be introduced through the gas distributor 130 of the workpiece holder 100, but the second process gas may be delivered through a delivery conduit independent of the gas distributor 130. In the context of the microfeature workpiece processing system 400 of FIG. 17, for example, the precursor gases may be introduced from separate individual gas supplies 452a and 452b through the gas delivery nozzle 458. This will deliver the precursors to the process spaces S between the workpieces W in a fashion analogous to that in the ALD reactor 10 of FIG. 3. In the purge stages illustrated in FIG. 2, though, the purge gas (gas3) may be introduced through the gas distributor 130 either in addition to or instead of introducing the purge gas through the nozzle 458. Delivering the purge gas through the outlets 138 of the workpiece holder gas distributor 130 will help positively scrub the processing spaces S, fairly rapidly sweeping away any excess precursor.


Unless the context clearly requires otherwise, throughout the description and the claims, the words “comprise,” “comprising,” and the like are to be construed in an inclusive sense as opposed to an exclusive or exhaustive sense, that is to say, in a sense of “including, but not limited to.” Words using the singular or plural number also include the plural or singular number, respectively. When the claims use the word “or” in reference to a list of two or more items, that word covers all of the following interpretations of the word: any of the items in the list, all of the items in the list, and any combination of the items in the list.


The above-detailed descriptions of embodiments of the invention are not intended to be exhaustive or to limit the invention to the precise form disclosed above. While specific embodiments of, and examples for, the invention are described above for illustrative purposes, various equivalent modifications are possible within the scope of the invention, as those skilled in the relevant art will recognize. For example, whereas steps are presented in a given order, alternative embodiments may perform steps in a different order. The various embodiments described herein can be combined to provide further embodiments.


In general, the terms used in the following claims should not be construed to limit the invention to the specific embodiments disclosed in the specification, unless the above-detailed description explicitly defines such terms. While certain aspects of the invention are presented below in certain claim forms, the inventors contemplate the various aspects of the invention in any number of claim forms. Accordingly, the inventors reserve the right to add additional claims after filing the application to pursue such additional claim forms for other aspects of the invention.

Claims
  • 1. A microfeature workpiece holder adapted to hold a plurality of micro feature workpieces for chemical processing, comprising: a longitudinally extending member having a plurality of slots as workpiece supports spaced longitudinally along a length of the longitudinally extending member, the workpiece supports being adapted to support the plurality of microfeature workpieces such that the workpieces are with their planar surfaces in a spaced-apart and generally parallel relationship for processing; anda longitudinally extending gas delivery conduit formed inside and carried by the longitudinally extending member and having an inlet, a first outlet, and a second outlet spaced longitudinally from the first outlet, the first outlet being positioned to transversely flow a process gas intermediate a first pair of the workpiece supports, the second outlet being positioned to flow a process gas transversely intermediate a second pair of the workpiece supports.
  • 2. The microfeature workpiece holder of claim 1 wherein each of the workpiece supports comprises a slot in the longitudinally extending member adapted to receive an edge portion of one of the microfeature workpieces.
  • 3. The microfeature workpiece holder of claim 1 wherein the longitudinally extending member is a first longitudinally extending member, further comprising a second longitudinally extending member that includes a plurality of workpiece supports, each of the workpiece supports of the second longitudinally extending member being positioned relative to a workpiece support of the first longitudinally extending member to cooperatively support one of the microfeature workpieces.
  • 4. The microfeature workpiece holder of claim 1 wherein the longitudinally extending member is a first longitudinally extending member and the gas delivery conduit is a first gas delivery conduit, further comprising a second longitudinally extending member and a second gas delivery conduit formed inside and carried by the second longitudinally extending member.
  • 5. The microfeature workpiece holder of claim 1 wherein the longitudinally extending member is a first longitudinally extending member and the gas delivery conduit is a first gas delivery conduit that comprises an internal lumen of the first longitudinal member, further comprising a second longitudinally extending member having an internal lumen that defines a second gas delivery conduit.
  • 6. The microfeature workpiece holder of claim 1 wherein the longitudinally extending member is a first longitudinally extending member and the gas delivery conduit is a first gas delivery conduit adapted to deliver a first process gas flow, further comprising a second longitudinally extending member and a second gas delivery conduit formed inside and carried by the second longitudinally extending member, the second gas delivery conduit being adapted to deliver a second process gas flow that is independent of the first process gas flow.
  • 7. The microfeature workpiece holder of claim 1 wherein the gas delivery conduit comprises an internal lumen of the longitudinal member.
  • 8. The microfeature workpiece holder of claim 1 wherein the longitudinally extending member is a first longitudinally extending member and the gas delivery conduit is a first gas delivery conduit that comprises an internal lumen of the first longitudinal member, further comprising a second longitudinally extending member having an internal lumen that defines a second gas delivery conduit.
  • 9. The microfeature workpiece holder of claim 1 further comprising a process gas supply releasably coupled to the inlet of the gas delivery conduit.
  • 10. The microfeature workpiece holder of claim 1 wherein the each of first and second outlets of the gas delivery conduit is positioned to direct a process gas flow inwardly toward a center of one of the plurality of microfeature workpieces when the microfeature workpieces are loaded in the microfeature workpiece holder.
  • 11. A microfeature workpiece holder adapted to hold a plurality of microfeature workpieces, comprising: a first member having a plurality of slots as first workpiece supports spaced along a length of the first member, a plurality of outlets spaced along the length of the first member, and a lumen formed inside and carried by the first member and coupling an inlet to each of the outlets, wherein each of the outlets is disposed between two adjacent workpiece supports, the slots being adapted to support the plurality of the microfeature workpieces in a spaced-apart and generally parallel relationship;a second member having a plurality of slots as second workpiece supports that are generally horizontally aligned with corresponding slots on the first member spaced along a length of the second member, each of the second workpiece supports being positioned relative to one of the first workpiece supports to cooperatively support a workpiece;and at least one cross-member joined to the first and second members.
  • 12. The microfeature workpiece holder of claim 11 wherein each of the first and second workpiece supports comprises a slot adapted to receive an edge portion of one of the microfeature workpieces.
  • 13. The microfeature workpiece holder of claim 11 wherein the second member includes a plurality of second member outlets spaced along a length of the second member, and an internal lumen coupling a second member inlet to each of the a second member outlets.
  • 14. The microfeature workpiece holder of claim 11 further comprising a process gas supply releasably coupled to the inlet.
  • 15. The microfeature workpiece holder of claim 11 wherein the each of the outlets is positioned to direct a process gas flow inwardly toward a center of one of the plurality of microfeature workpieces when the microfeature workpieces are loaded in the microfeature workpiece holder.
  • 16. A microfeature workpiece processing system, comprising: an enclosure defining a process chamber;a removable microfeature workpiece holder disposed in the processing chamber, the microfeature workpiece holder including:a longitudinally extending member having a plurality of slots as workpiece supports spaced longitudinally along a length of the longitudinally extending member, the workpiece supports being adapted to support the plurality of microfeature workpieces such that the workpieces are spaced-apart with their planar surfaces in a generally parallel relationship for processing; anda longitudinally extending gas delivery conduit formed inside and carried by the longitudinally extending member and having an inlet, a first outlet, and a second outlet spaced longitudinally from the first outlet, the first outlet being positioned to direct a process gas flow intermediate a first pair of the workpiece supports, the second outlet being positioned to direct a process gas flow intermediate a second pair of the workpiece supports; anda process gas supply coupled to an inlet of a gas distributor of the microfeature workpiece holder.
  • 17. The microfeature workpiece processing system of claim 16 wherein each of the workpiece supports comprises a slot in the longitudinally extending member adapted to receive an edge portion of one of the micro feature workpieces.
  • 18. The microfeature workpiece processing system of claim 16 wherein the longitudinally extending member is a first longitudinally extending member and the gas delivery conduit is a first gas delivery conduit, and wherein the microfeature workpiece holder further comprises a second longitudinally extending member and a second gas delivery conduit formed inside and carried by the second longitudinally extending member.
  • 19. The microfeature workpiece processing system of claim 16 wherein the gas delivery conduit comprises an internal lumen of the longitudinal member.
  • 20. The microfeature workpiece processing system of claim 16 wherein the each of first and second outlets of the gas delivery conduit is positioned to direct a process gas flow inwardly toward a center of one of the plurality of microfeature workpieces when the microfeature workpieces are loaded in the microfeature workpiece holder.
US Referenced Citations (305)
Number Name Date Kind
579269 Hent Mar 1897 A
3618919 Beck Nov 1971 A
3620934 Endle Nov 1971 A
3630769 Hart et al. Dec 1971 A
3630881 Lester et al. Dec 1971 A
3634212 Valayll et al. Jan 1972 A
4018949 Donokowski et al. Apr 1977 A
4242182 Popescu Dec 1980 A
4269625 Molenaar May 1981 A
4289061 Emmett Sep 1981 A
4313783 Davies et al. Feb 1982 A
4397753 Czaja Aug 1983 A
4438724 Doehler et al. Mar 1984 A
4469801 Hirai et al. Sep 1984 A
4545136 Izu et al. Oct 1985 A
4590042 Drage May 1986 A
4593644 Hanak Jun 1986 A
4681777 Engelken et al. Jul 1987 A
4826579 Westfall May 1989 A
4911638 Bayne et al. Mar 1990 A
4948979 Munakata et al. Aug 1990 A
4949669 Ishii et al. Aug 1990 A
4966646 Zdeblick Oct 1990 A
4977106 Smith Dec 1990 A
5017404 Paquet et al. May 1991 A
5020476 Bay et al. Jun 1991 A
5076205 Vowles et al. Dec 1991 A
5091207 Tanaka Feb 1992 A
5131752 Yu et al. Jul 1992 A
5136975 Bartholomew et al. Aug 1992 A
5172849 Barten et al. Dec 1992 A
5200023 Gifford et al. Apr 1993 A
5223113 Kaneko et al. Jun 1993 A
5232749 Gilton Aug 1993 A
5248527 Uchida et al. Sep 1993 A
5325020 Campbell et al. Jun 1994 A
5364219 Takahashi et al. Nov 1994 A
5377429 Sandhu et al. Jan 1995 A
5380396 Shikida et al. Jan 1995 A
5409129 Tsukada et al. Apr 1995 A
5418180 Brown May 1995 A
5427666 Mueller et al. Jun 1995 A
5433787 Suzuki et al. Jul 1995 A
5433835 Demaray et al. Jul 1995 A
5445491 Nakagawa et al. Aug 1995 A
5480818 Matsumoto et al. Jan 1996 A
5498292 Ozaki Mar 1996 A
5500256 Watabe Mar 1996 A
5522934 Suzuki et al. Jun 1996 A
5536317 Crain et al. Jul 1996 A
5562800 Kawamura Oct 1996 A
5589002 Su Dec 1996 A
5592581 Okase Jan 1997 A
5595606 Fujikawa et al. Jan 1997 A
5599513 Masaki et al. Feb 1997 A
5624498 Lee et al. Apr 1997 A
5626936 Alderman May 1997 A
5640751 Faria Jun 1997 A
5643394 Maydan et al. Jul 1997 A
5654589 Huang et al. Aug 1997 A
5693288 Nakamura Dec 1997 A
5729896 Dalal et al. Mar 1998 A
5746434 Boyd et al. May 1998 A
5766364 Ishida et al. Jun 1998 A
5769950 Takasu et al. Jun 1998 A
5769952 Komino Jun 1998 A
5788778 Shang et al. Aug 1998 A
5792269 Deacon et al. Aug 1998 A
5792700 Turner et al. Aug 1998 A
5819683 Ikeda et al. Oct 1998 A
5820641 Gu et al. Oct 1998 A
5827370 Gu Oct 1998 A
5833888 Arya et al. Nov 1998 A
5846275 Lane et al. Dec 1998 A
5846330 Quirk et al. Dec 1998 A
5851849 Comizzoli et al. Dec 1998 A
5865417 Harris et al. Feb 1999 A
5866986 Pennington Feb 1999 A
5879459 Gadgil et al. Mar 1999 A
5895530 Shrotriya et al. Apr 1999 A
5902403 Aitani et al. May 1999 A
5908947 Vaartstra Jun 1999 A
5932286 Beinglass et al. Aug 1999 A
5953634 Kajita et al. Sep 1999 A
5956613 Zhao et al. Sep 1999 A
5968587 Frankel Oct 1999 A
5972430 DiMeo, Jr. et al. Oct 1999 A
5994181 Hsieh et al. Nov 1999 A
5997588 Goodwin et al. Dec 1999 A
6006694 DeOrnellas et al. Dec 1999 A
6008086 Schuegraf et al. Dec 1999 A
6022483 Aral Feb 2000 A
6032923 Biegelsen et al. Mar 2000 A
6042652 Hyun et al. Mar 2000 A
6045620 Tepman et al. Apr 2000 A
6059885 Ohashi et al. May 2000 A
6062256 Miller et al. May 2000 A
6070551 Li et al. Jun 2000 A
6079426 Subrahmanyam et al. Jun 2000 A
6080446 Tobe et al. Jun 2000 A
6086677 Umotoy et al. Jul 2000 A
6089543 Freerks Jul 2000 A
6109206 Maydan et al. Aug 2000 A
6113698 Raaijmakers et al. Sep 2000 A
6123107 Selser et al. Sep 2000 A
6129331 Henning et al. Oct 2000 A
6139700 Kang et al. Oct 2000 A
6143077 Ikeda et al. Nov 2000 A
6143078 Ishikawa et al. Nov 2000 A
6143659 Leem Nov 2000 A
6144060 Park et al. Nov 2000 A
6149123 Harris et al. Nov 2000 A
6159298 Saito Dec 2000 A
6160243 Cozad Dec 2000 A
6161500 Kopacz et al. Dec 2000 A
6173673 Golovato et al. Jan 2001 B1
6174366 Ihantola Jan 2001 B1
6174377 Doering et al. Jan 2001 B1
6174809 Kang et al. Jan 2001 B1
6178660 Emmi et al. Jan 2001 B1
6182603 Shang et al. Feb 2001 B1
6192827 Welch et al. Feb 2001 B1
6193802 Pang et al. Feb 2001 B1
6194628 Pang et al. Feb 2001 B1
6197119 Dozoretz et al. Mar 2001 B1
6200415 Maraschin Mar 2001 B1
6203613 Gates et al. Mar 2001 B1
6206972 Dunham Mar 2001 B1
6207937 Stoddard et al. Mar 2001 B1
6210754 Lu et al. Apr 2001 B1
6211033 Sandhu et al. Apr 2001 B1
6211078 Matthews Apr 2001 B1
6214714 Wang et al. Apr 2001 B1
6237394 Harris et al. May 2001 B1
6237529 Spahn May 2001 B1
6245192 Dhindsa et al. Jun 2001 B1
6255222 Xia et al. Jul 2001 B1
6263829 Schneider et al. Jul 2001 B1
6270572 Kim et al. Aug 2001 B1
6273954 Nishikawa et al. Aug 2001 B2
6277763 Kugimiya et al. Aug 2001 B1
6280584 Kumar et al. Aug 2001 B1
6287965 Kang et al. Sep 2001 B1
6287980 Hanazaki et al. Sep 2001 B1
6290491 Shahvandi et al. Sep 2001 B1
6291337 Sidhwa Sep 2001 B1
6294394 Erickson et al. Sep 2001 B1
6297539 Ma et al. Oct 2001 B1
6302964 Umotoy et al. Oct 2001 B1
6302965 Umotoy et al. Oct 2001 B1
6303953 Doan et al. Oct 2001 B1
6305314 Sneh et al. Oct 2001 B1
6309161 Hofmeister Oct 2001 B1
6315859 Donohoe Nov 2001 B1
6328803 Rolfson et al. Dec 2001 B2
6329297 Balish et al. Dec 2001 B1
6334928 Sekine et al. Jan 2002 B1
6342277 Sherman Jan 2002 B1
6346477 Kaloyeros et al. Feb 2002 B1
6347602 Goto et al. Feb 2002 B2
6347918 Blahnik Feb 2002 B1
6355561 Sandhu et al. Mar 2002 B1
6358323 Schmitt et al. Mar 2002 B1
6364219 Zimmerman et al. Apr 2002 B1
6374831 Chandran et al. Apr 2002 B1
6383300 Saito et al. May 2002 B1
6387185 Doering et al. May 2002 B2
6387207 Janakiraman et al. May 2002 B1
6402806 Schmitt et al. Jun 2002 B1
6402849 Kwag et al. Jun 2002 B2
6415736 Hao et al. Jul 2002 B1
6419462 Horie et al. Jul 2002 B1
6420230 Derderian et al. Jul 2002 B1
6420742 Ahn et al. Jul 2002 B1
6425168 Takaku Jul 2002 B1
6428859 Chiang et al. Aug 2002 B1
6432256 Raoux Aug 2002 B1
6432259 Noorbaksh et al. Aug 2002 B1
6432831 Dhindsa et al. Aug 2002 B2
6435865 Tseng et al. Aug 2002 B1
6444039 Nguyen Sep 2002 B1
6450117 Murugesh et al. Sep 2002 B1
6451119 Sneh et al. Sep 2002 B2
6458416 Derderian et al. Oct 2002 B1
6461436 Campbell et al. Oct 2002 B1
6461931 Eldridge Oct 2002 B1
6503330 Sneh et al. Jan 2003 B1
6506254 Bosch et al. Jan 2003 B1
6509280 Choi Jan 2003 B2
6534007 Blonigan et al. Mar 2003 B1
6534395 Werkhoven et al. Mar 2003 B2
6540838 Sneh et al. Apr 2003 B2
6541353 Sandhu et al. Apr 2003 B1
6551929 Kori et al. Apr 2003 B1
6562140 Bondestam et al. May 2003 B1
6562141 Clarke May 2003 B2
6573184 Park Jun 2003 B2
6579372 Park Jun 2003 B2
6579374 Bondestam et al. Jun 2003 B2
6596085 Schmitt et al. Jul 2003 B1
6602346 Gochberg Aug 2003 B1
6622104 Wang et al. Sep 2003 B2
6630201 Chiang et al. Oct 2003 B2
6635965 Lee et al. Oct 2003 B1
6638672 Deguchi Oct 2003 B2
6638879 Hsieh et al. Oct 2003 B2
6641673 Yang Nov 2003 B2
6663713 Robles et al. Dec 2003 B1
6666982 Brcka Dec 2003 B2
6673196 Oyabu Jan 2004 B1
6704913 Rossman Mar 2004 B2
6705345 Bifano Mar 2004 B1
6706334 Kobayashi et al. Mar 2004 B1
6770145 Saito Aug 2004 B2
6807971 Saito et al. Oct 2004 B2
6814813 Dando et al. Nov 2004 B2
6818249 Derderian Nov 2004 B2
6821347 Carpenter et al. Nov 2004 B2
6838114 Carpenter et al. Jan 2005 B2
6849131 Chen et al. Feb 2005 B2
6861094 Derderian et al. Mar 2005 B2
6881295 Nagakura Apr 2005 B2
20010010309 Van Bilsen Aug 2001 A1
20010011526 Doering et al. Aug 2001 A1
20010012697 Mikata Aug 2001 A1
20010024387 Raajimakers et al. Sep 2001 A1
20010029892 Cook et al. Oct 2001 A1
20010045187 Uhlenbrock Nov 2001 A1
20010050267 Hwang et al. Dec 2001 A1
20010054484 Komino Dec 2001 A1
20020007790 Park Jan 2002 A1
20020020353 Redemann et al. Feb 2002 A1
20020043216 Hwang et al. Apr 2002 A1
20020052097 Park May 2002 A1
20020073924 Chiang et al. Jun 2002 A1
20020076490 Chiang et al. Jun 2002 A1
20020076507 Chiang et al. Jun 2002 A1
20020076508 Chiang et al. Jun 2002 A1
20020094689 Park Jul 2002 A1
20020100418 Sandhu et al. Aug 2002 A1
20020104481 Chiang et al. Aug 2002 A1
20020108714 Doering et al. Aug 2002 A1
20020110991 Li Aug 2002 A1
20020127745 Lu et al. Sep 2002 A1
20020129768 Carpernter et al. Sep 2002 A1
20020144655 Chiang et al. Oct 2002 A1
20020146512 Rossman Oct 2002 A1
20020162506 Sneh et al. Nov 2002 A1
20020164420 Derderian et al. Nov 2002 A1
20020185067 Upham Dec 2002 A1
20020195056 Sandhu et al. Dec 2002 A1
20020195201 Beer Dec 2002 A1
20020197402 Chiang et al. Dec 2002 A1
20030000473 Chae et al. Jan 2003 A1
20030003697 Agarwal et al. Jan 2003 A1
20030003730 Li Jan 2003 A1
20030013320 Kim et al. Jan 2003 A1
20030023338 Chin et al. Jan 2003 A1
20030024477 Okuda et al. Feb 2003 A1
20030027428 Ng et al. Feb 2003 A1
20030027431 Sneh et al. Feb 2003 A1
20030037729 DeDontney et al. Feb 2003 A1
20030037730 Yamasaki et al. Feb 2003 A1
20030060030 Lee et al. Mar 2003 A1
20030066483 Lee et al. Apr 2003 A1
20030070609 Campbell et al. Apr 2003 A1
20030070617 Kim et al. Apr 2003 A1
20030070618 Campbell et al. Apr 2003 A1
20030075273 Kilpela et al. Apr 2003 A1
20030079686 Chen et al. May 2003 A1
20030098372 Kim May 2003 A1
20030098419 Ji et al. May 2003 A1
20030106490 Jallepally et al. Jun 2003 A1
20030121608 Chen et al. Jul 2003 A1
20030159780 Carpenter et al. Aug 2003 A1
20030192645 Liu Oct 2003 A1
20030194862 Mardian et al. Oct 2003 A1
20030200926 Dando et al. Oct 2003 A1
20030203109 Dando et al. Oct 2003 A1
20040003777 Carpenter et al. Jan 2004 A1
20040007188 Burkhart et al. Jan 2004 A1
20040035358 Basceri et al. Feb 2004 A1
20040040502 Basceri et al. Mar 2004 A1
20040040503 Basceri et al. Mar 2004 A1
20040083959 Carpernter et al. May 2004 A1
20040083960 Dando May 2004 A1
20040083961 Basceri May 2004 A1
20040089240 Dando et al. May 2004 A1
20040099377 Newton et al. May 2004 A1
20040124131 Aitchison et al. Jul 2004 A1
20040154538 Carpenter et al. Aug 2004 A1
20040226507 Carpenter et al. Nov 2004 A1
20040238123 Becknell et al. Dec 2004 A1
20050016956 Liu et al. Jan 2005 A1
20050016984 Dando Jan 2005 A1
20050022739 Carpenter et al. Feb 2005 A1
20050028734 Carpenter et al. Feb 2005 A1
20050039680 Beaman et al. Feb 2005 A1
20050039686 Zheng et al. Feb 2005 A1
20050045100 Derderian Mar 2005 A1
20050059261 Basceri et al. Mar 2005 A1
20050081786 Kubista et al. Apr 2005 A1
20050087130 Derderian Apr 2005 A1
20050087302 Mardian Apr 2005 A1
20050217582 Kim et al. Oct 2005 A1
Foreign Referenced Citations (38)
Number Date Country
198 51 824 May 2000 DE
1 167 569 Jan 2002 EP
63-256460 Oct 1988 JP
1-273991 Nov 1989 JP
4-100533 Apr 1992 JP
4-213818 Aug 1992 JP
6-151558 May 1994 JP
6-342785 Dec 1994 JP
8-34678 Feb 1996 JP
9-82650 Mar 1997 JP
63-20490 Jan 1998 JP
10-223719 Aug 1998 JP
11-172438 Jun 1999 JP
2001-82682 Mar 2001 JP
2001-261375 Sep 2001 JP
2002-164336 Jun 2002 JP
2001-254181 Sep 2002 JP
598630 Mar 1978 RU
WO-9837258 Aug 1998 WO
WO-9906610 Feb 1999 WO
WO-0040772 Jul 2000 WO
WO-0063952 Oct 2000 WO
WO-0065649 Nov 2000 WO
WO-0079019 Dec 2000 WO
WO-0132966 May 2001 WO
WO-0146490 Jun 2001 WO
WO 0245871 Jun 2002 WO
WO-0248427 Jun 2002 WO
WO-02073329 Sep 2002 WO
WO-02073660 Sep 2002 WO
WO-02081771 Oct 2002 WO
WO-02095807 Nov 2002 WO
WO-03008662 Jan 2003 WO
WO-03016587 Feb 2003 WO
WO-03028069 Apr 2003 WO
WO-03033762 Apr 2003 WO
WO-03035927 May 2003 WO
WO-03052807 Jun 2003 WO
Related Publications (1)
Number Date Country
20050039686 A1 Feb 2005 US