The present invention generally relates to the field of complementary metal-oxide semiconductor field effect transistor (MOSFET) devices, and more particularly to nanosheet MOSFETs having an asymmetric threshold voltage.
In contemporary semiconductor device fabrication processes a large number of semiconductor devices, such as field effect transistors (FETs), are fabricated on a single wafer. Non-planar device architectures, including nanosheet FETs, provide increased device density and increased performance over planar devices. In nanosheet FETs, in contrast to conventional FETs, the gate stack wraps around the full perimeter of each nanosheet, enabling fuller depletion in the channel region, and reducing short-channel effects. The wrap-around gate structures used in nanosheet devices can also enable greater management of leakage current in the active regions, even as drive current increases. However, the lateral asymmetric channel (LAC) doping profile used in planar FETs to improve electrical characteristics can be difficult to achieve in stacked nanosheet FETs.
According to an embodiment of the present disclosure, a method of forming a semiconductor structure includes forming a nanosheet stack on a substrate, the nanosheet stack including an alternating sequence of sacrificial nanosheets and channel nanosheets, the sacrificial nanosheets including second nanosheets located between first nanosheets and third nanosheets, the first nanosheets and the third nanosheets having a first germanium concentration that is lower than a second germanium concentration of the second nanosheets, and selectively etching the sacrificial nanosheets, wherein the lower first germanium concentration causes the first nanosheets and the third nanosheets to be etched slower than the second nanosheets creating an indentation region on opposing sides of the nanosheet stack, the indentation region having a narrowing shape towards remaining second nanosheets of the sacrificial nanosheets.
According to another embodiment of the present disclosure, a method of forming a semiconductor structure includes forming an inner spacer between channel nanosheets on a semiconductor substrate, a first portion of the inner spacer is located on a first side of the semiconductor structure and a second portion of the inner spacer is located on a second side of the semiconductor structure opposing the first side, the first portion of the inner spacer on the first side including a protruding region extending outwards from a middle top surface of the first portion of the inner spacer, and forming a metal gate stack in direct contact with the inner spacer, the first portion of the inner spacer including the protruding region pinching off the metal gate stack for increasing a threshold voltage on the first side, the protruding region providing a T-shaped inner spacer with a space between the protruding region and the channel nanosheets being less than twice a thickness of an inner nitride layer in the metal gate stack.
According to another embodiment of the present disclosure, a method of forming a semiconductor structure includes forming a plurality of channel nanosheets above an isolation region on a semiconductor substrate, forming an inner spacer between each of the plurality of channel nanosheets, a first portion of the inner spacer is located on a first side of the semiconductor structure, and a second portion of the inner spacer is located on a second side of the semiconductor structure opposing the first side, the first portion of the inner spacer on the first side including a protruding region extending outwards from a middle top surface of the first portion of the inner spacer, and forming a metal gate stack separated from a source region located on the first side and along sidewalls of the plurality of channel nanosheets by the first portion of the inner spacer, the metal gate stack being separated from a drain region located on the second side and along opposing sidewalls of the plurality of channel nanosheets by the second portion of the inner spacer, the protruding region of the first portion of the inner spacer pinching off the metal gate stack for increasing a threshold voltage on the first side, the protruding region providing a T-shaped inner spacer with a space between the protruding region and the channel nanosheets being less than twice a thickness of an inner nitride layer in the metal gate stack.
According to yet another embodiment of the present disclosure, a method of forming a semiconductor structure includes forming a nanosheet stack above a substrate, the nanosheet stack including a channel nanosheet disposed between a stack of sacrificial nanosheets, the stack of sacrificial nanosheets including first sacrificial nanosheets disposed on opposite sides and in direct contact with the channel nanosheet, second sacrificial nanosheets in direct contact with a side of the first sacrificial nanosheets opposing a side of the second sacrificial nanosheets in contact with the channel nanosheets and third sacrificial nanosheets above and in direct contact with the second sacrificial nanosheets, wherein the first sacrificial nanosheets and the third sacrificial nanosheets have a first germanium concentration that is lower than a second germanium concentration of the second sacrificial nanosheets, selectively etching the stack of sacrificial nanosheets to form an indentation region, forming a first inner spacer partially filling the indentation region, the first inner spacer being located on opposite sides of the second sacrificial nanosheets and between the first and third sacrificial nanosheets, selectively removing portions of the first and third sacrificial nanosheets, selectively removing the first inner spacer from a first side of the nanosheet stack, the first inner spacer remaining on a second side of the nanosheet stack opposing the first side, and depositing a second inner spacer on the first side of the nanosheet stack, wherein outer portions of the second inner spacer is aligned with the channel nanosheet.
The method further includes conducting a replacement metal gate process on the semiconductor structure and removing the first inner spacer from the second side of the nanosheet stack before completing the replacement metal gate process.
The following detailed description, given by way of example and not intended to limit the invention solely thereto, will best be appreciated in conjunction with the accompanying drawings, in which:
The drawings are not necessarily to scale. The drawings are merely schematic representations, not intended to portray specific parameters of the invention. The drawings are intended to depict only typical embodiments of the invention. In the drawings, like numbering represents like elements.
Detailed embodiments of the claimed structures and methods are disclosed herein; however, it can be understood that the disclosed embodiments are merely illustrative of the claimed structures and methods that may be embodied in various forms. This invention may, however, be embodied in many different forms and should not be construed as limited to the exemplary embodiments set forth herein. In the description, details of well-known features and techniques may be omitted to avoid unnecessarily obscuring the presented embodiments.
For purposes of the description hereinafter, terms such as “upper”, “lower”, “right”, “left”, “vertical”, “horizontal”, “top”, “bottom”, and derivatives thereof shall relate to the disclosed structures and methods, as oriented in the drawing figures. Terms such as “above”, “overlying”, “atop”, “on top”, “positioned on” or “positioned atop” mean that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements, such as an interface structure may be present between the first element and the second element. The term “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.
In the interest of not obscuring the presentation of embodiments of the present invention, in the following detailed description, some processing steps or operations that are known in the art may have been combined together for presentation and for illustration purposes and in some instances may have not been described in detail. In other instances, some processing steps or operations that are known in the art may not be described at all. It should be understood that the following description is rather focused on the distinctive features or elements of various embodiments of the present invention.
It is understood that although the disclosed embodiments include a detailed description of an exemplary nanosheet FET architecture having silicon and silicon germanium nanosheets, implementation of the teachings recited herein are not limited to the particular FET architecture described herein. Rather, embodiments of the present invention are capable of being implemented in conjunction with any other type of FET device now known or later developed.
As integrated circuit fabrication continues to strive to produce smaller and denser integrated circuits, a viable alternative for 5 nm node technology and beyond includes nanosheet FETs. As previously described, the gate stack in nanosheet FETs wraps around the full perimeter of each nanosheet, enabling fuller depletion in the channel region, and reducing short-channel effects, among other benefits. This allows an increased device density and an increased performance over planar devices.
Nanosheet FETs often include thin alternating layers (nanosheets) of different semiconductor materials arranged in a stack. Typically, nanosheets are patterned into nanosheet fins. Once the nanosheet fins are patterned, a gate stack is formed over a channel region of the nanosheet fins, and source/drain regions are formed adjacent to the gate stack. In some devices, once the gate stack or the source/drain regions have been formed, an etching process is performed to selectively remove nanosheet layers of one of the dissimilar materials from the fins. The etching process results in the undercutting and suspension of the layers of the nanosheet fin to form nanosheets or nanowires that can be used to form gate-all-around devices.
In traditional MOSFETs manufacturing, a lateral asymmetric channel (LAC) doping profile approach is implemented to improve device's electrical characteristics. For LAC MOSFETs, the doping concentration at the source side of the channel region is higher than that of the drain side. Thus, the non-uniform channel doping causes the channel potential transition at the source side of the channel region being much steeper than that of the drain side while the device is operating. Such a steep potential distribution near the source side of the channel region enhances the lateral channel electric field, and thus increases carrier mobility. However, implementing a non-uniform channel doping profile in state-of-the-art short channel devices (e.g., nanosheets) can be very challenging due to the reduced device area. Thus, there is a need for alternative designs and techniques for introducing a halo region in a portion of the channel in a self-aligned manner in stacked nanosheet FETs.
Therefore, embodiments of the present disclosure provide a stacked nanosheet complementary metal oxide semiconductor (CMOS) structure in which an asymmetric threshold voltage distribution across the channel region is achieved by pinching off a work function metal near the source side of the channel region. The resulting asymmetric work function metal distribution improves device performance by increasing threshold voltage on the source side of the channel region without requiring metal gate patterning. One way to pinch-off the work function metal to achieve the asymmetric threshold voltage distribution across the channel includes forming asymmetric inner spacers. Embodiments by which the asymmetric inner spacers can be formed to achieve a stacked nanosheet structure with asymmetric threshold voltage distribution are described in detailed below by referring to the accompanying drawings in
Referring now to
In one or more embodiments, the alternating sequence of sacrificial nanosheets 110, 112, 114 and channel nanosheets 120 are formed by epitaxially growing one layer and then the next until the desired number and desired thicknesses of the nanosheets are achieved. Epitaxial materials can be grown from gaseous or liquid precursors. Epitaxial materials can be grown using vapor-phase epitaxy (VPE), molecular-beam epitaxy (MBE), liquid-phase epitaxy (LPE), or other suitable process. Epitaxial silicon, silicon germanium, and/or carbon doped silicon (Si:C) silicon can be doped during deposition (in-situ doped) by adding dopants, n-type dopants (e.g., phosphorus or arsenic) or p-type dopants (e.g., boron or gallium), depending on the type of transistor.
The terms “epitaxial growth and/or deposition” and “epitaxially formed and/or grown” mean the growth of a semiconductor material (crystalline material) on a deposition surface of another semiconductor material (crystalline material), in which the semiconductor material being grown (crystalline overlayer) has substantially the same crystalline characteristics as the semiconductor material of the deposition surface (seed material). In an epitaxial deposition process, the chemical reactants provided by the source gases are controlled and the system parameters are set so that the depositing atoms arrive at the deposition surface of the semiconductor substrate with sufficient energy to move about on the surface such that the depositing atoms orient themselves to the crystal arrangement of the atoms of the deposition surface. Therefore, an epitaxially grown semiconductor material has substantially the same crystalline characteristics as the deposition surface on which the epitaxially grown material is formed. For example, an epitaxially grown semiconductor material deposited on a {100} orientated crystalline surface will take on a {100} orientation. In some embodiments, epitaxial growth and/or deposition processes are selective to forming on semiconductor surfaces, and generally do not deposit material on exposed surfaces, such as silicon dioxide or silicon nitride surfaces.
In some embodiments, the gas source for the deposition of epitaxial semiconductor material include a silicon containing gas source, a germanium containing gas source, or a combination thereof. For example, an epitaxial silicon layer can be deposited from a silicon gas source that is selected from the group consisting of silane, disilane, trisilane, tetrasilane, hexachlorodisilane, tetrachlorosilane, dichlorosilane, trichlorosilane, methylsilane, dimethylsilane, ethylsilane, methyldisilane, dimethyldisilane, hexamethyldisilane and combinations thereof. An epitaxial germanium layer can be deposited from a germanium gas source that is selected from the group consisting of germane, digermane, halogermane, dichlorogermane, trichlorogermane, tetrachlorogermane and combinations thereof. While an epitaxial silicon germanium alloy layer can be formed utilizing a combination of such gas sources. Carrier gases like hydrogen, nitrogen, helium, and argon can be used.
According to an embodiment, (first or bottom) sacrificial nanosheets 110 and (third or top) sacrificial nanosheets 114 include silicon germanium (SiGe) with a first germanium (Ge) concentration of approximately 25% Ge, while (second or middle) sacrificial nanosheets 112 located between the sacrificial nanosheets 110 and the sacrificial nanosheets 114 are formed with a second germanium concentration of approximately 35% Ge. The different Ge concentrations allows to have different etch rates between sacrificial nanosheets 110, 114 and sacrificial nanosheets 112, as will be described in detail below.
According to an embodiment, known processing techniques have been applied to the alternating sequence of sacrificial nanosheets 110, 112, 114 and channel nanosheets 120 forming the nanosheet stack 108. For example, the known processing techniques can include the formation of fin hard masks (not shown) over the nanosheet stack 108. The fin hard masks can be formed by first depositing the hard mask material (for example silicon nitride) onto the nanosheet stack 108 using, for example, chemical vapor deposition (CVD), plasma enhanced CVD (PECVD) or any suitable technique for dielectric deposition. The deposited hard mask material is then patterned into a plurality of individual fin hard masks. The patterning of the hard masks is commensurate with a desired footprint and location of the channel nanosheets 120, which will be used to form the channel regions of the semiconductor device. According to an exemplary embodiment, reactive ion etching (RIE) is used to etch through the alternating sequence of sacrificial nanosheets 110, 112, 114 and channel nanosheets 120 to form the nanosheet stack 108 as illustrated in
With continued reference to
As depicted in the figure, offset spacers 150 have been formed along sidewalls of the dummy gate 130 and hard mask 140. Offset spacers 150 can be formed using a spacer pull down formation process. Offset spacers 150 can also be formed using a sidewall image transfer (SIT) spacer formation process, which includes spacer material deposition followed by directional RIE of the deposited spacer material. The width dimensions of the offset spacers 150 are chosen such that the offset spacers 150 and the hard mask 140 define an initial width (w).
As known by those skilled in the art, the offset spacers 150 are used as a mask, to recess portions of the sacrificial nanosheets 110, 112, 114 and the channel nanosheets 120 that are not under the offset spacers 150 and dummy gate 130, as illustrated in the figure. For example, a RIE process can be used to recess the portions of the sacrificial nanosheets 110, 112, 114 and the channel nanosheets 120 that are not under the offset spacers 150 and dummy gate 130. Although not depicted, typically the sacrificial nanosheets 110, 112, 114 and the channel nanosheets 120 are recessed into the oxide isolation layer 106 forming a trench (not shown). Because the fin etch is being performed before the dummy gate replacement steps (described in detail below), the semiconductor device fabrication processes described herein can be referred to as a fin first process.
Referring now to
As mentioned above, the germanium concentration in the sacrificial nanosheets 110, 114 is less than the germanium concentration in the sacrificial nanosheets 112. Thus, during the etching process the sacrificial nanosheets 112 formed with higher germanium concentration are etched faster than the sacrificial nanosheets 110, 114 formed with lower germanium concentration. This causes a deeper recess or indentation region 202 into the sacrificial nanosheets 112, as depicted in the figure. Stated differently, because of the lower germanium concentration of the sacrificial nanosheets 110, 114, these layers are etched slower than the sacrificial nanosheets 112 creating the indentation region 202. It should be noted that regions 204 containing remaining portions of silicon germanium from the sacrificial nanosheets 110, 114 can still be present in the semiconductor structure 100 after the etching process. The etch rate difference between the sacrificial nanosheets 110, 114 and the sacrificial nanosheets 112 naturally causes the indentation region 202 to have a narrowing shape towards the sacrificial nanosheets 112, as illustrated in the figure.
Referring now to
An isotropic etching, such as wet etching, is then performed to recess the first inner spacer 320, as depicted in the figure. Recessing of the first inner spacer 320 exposes remaining portions of the sacrificial nanosheets 110, 114 (e.g., regions 204 in
Referring now to
As depicted in the figure, exposed remaining portions of the sacrificial nanosheets 110, 114 located below the offset spacers 150 and on the channel nanosheets 120 are selectively removed from the semiconductor structure 100 (i.e., from exposed areas of regions 204 in
Referring now to
The protective OPL 520 is formed by depositing an organic planarizing material that is capable of effectively preventing damage of underlying layers during subsequent etching processes. According to an embodiment, protective OPL 520 protects a second side of the semiconductor structure 100 opposing the first side during an etching process used to remove the first inner spacer 320 from the first side of the semiconductor structure 100, as depicted in the figure. As will be explained below, a source drain region is formed on the first side of the semiconductor structure 100, while a drain region is formed on the opposing second side of the semiconductor structure 100.
The protective OPL 520 can include, but is not necessarily limited to, an organic polymer including C, H, and N. According to an embodiment, the OPL material can be free of silicon (Si). According to another embodiment, the OPL material can be free of Si and fluorine (F). As defined herein, a material is free of an atomic element when the level of the atomic element in the material is at or below a trace level detectable with analytic methods available in the art. Non-limiting examples of the OPL material forming the protective OPL 520 can include JSR HM8006, JSR HM8014, AZ UM10M2, Shin Etsu ODL 102, or other similar commercially available materials. The protective OPL 520 may be deposited by, for example, spin coating followed by a planarization process, such as chemical mechanical polishing (CMP).
After forming the protective OPL 520, portions of the first inner spacer 320 are selectively removed using, for example, a wet etching process, such as diluted HF. Removing portions of the first inner spacer 320 from the first side of the semiconductor structure 100 creates first recesses 510. After removing the portions of the first inner spacer 320 from the first side of the semiconductor structure 100, the protective OPL 520 is removed, as depicted in
Referring now to
Similar to the first inner spacer 320, the second inner spacer 640 can be formed by conformal deposition of an inner spacer material. According to an embodiment, the inner spacer material forming the second inner spacer 640 includes, for example, SiN, SiC, SiOC, SiCN, BN, SiBN, SiBCN, SiOCN, SiOxNy, and combinations thereof. Etching processes including RIE, wet etch, or isotropic vapor phased dry etch can be conducted to remove excess inner spacer material from the semiconductor structure 100.
It should be noted that the second inner spacer 640 constitutes the main inner spacer for the semiconductor structure 100. In one or more embodiments, the spacer material forming the first inner spacer 320 is selected based on providing etch selectivity to the spacer material forming the second inner spacer 640. As depicted in the figure, the second inner spacer 640 on the first side of the semiconductor structure 100 substantially fills the first recesses 510 (
As shown in the figure, second inner spacer 640 includes a protruding region 612 extending outwards from a middle top surface of the inner spacer 640 towards the remaining sacrificial nanosheets 112. The protruding region 612 provides a T-shaped inner spacer formed in a way such that a space between the protruding region 612 and the channel nanosheets 120 is less than twice a thickness of a subsequently formed nitride layer (e.g., inner nitride layer 1010 shown in
In an exemplary embodiment, a thickness of the second inner spacer 640 can vary between approximately 5 nm to approximately 10 nm, and ranges there between, although a thickness less than 5 nm and greater than 10 nm may be acceptable. A thickness of the protruding region 612 of the second inner spacer 640 can vary between approximately 2 nm to approximately 5 nm, although a thickness less than 2 nm and greater than 5 nm may be acceptable.
Referring now to
At this step of the manufacturing process, source region 720 and drain region 722 are formed using an epitaxial layer growth process on the exposed ends of the channel nanosheets 120. In-situ doping (ISD) or ex-situ doping can be applied to dope the source region 720 and drain region 722, thereby creating the necessary junctions of the semiconductor device. Virtually all semiconductor transistors are based on the formation of junctions. Junctions are capable of both blocking current and allowing it to flow, depending on an applied bias. Junctions are typically formed by placing two semiconductor regions with opposite polarities into contact with one another. The most common junction is the p-n junction, which consists of a contact between a p-type piece of silicon, rich in holes, and an n-type piece of silicon, rich in electrons. N-type and p-type FETs are formed by implanting different types of dopants to selected regions of the device to form the necessary junction(s). N-type devices can be formed by implanting arsenic (As) or phosphorous (P), and p-type devices can be formed by implanting boron (B).
It may be understood that the first side of the semiconductor structure 100 corresponds to the side in which the source region 720 is located (i.e., source side). Similarly, the second side of the semiconductor structure 100 (opposing the first side) corresponds to the side in which the drain region 722 is located (i.e., drain side).
After forming the source region 720 and the drain region 722, an interlevel dielectric (ILD) layer 730 is formed to fill voids between gate structures and other existing devices within the semiconductor structure 100. The ILD layer 730 can be formed by, for example, CVD of a dielectric material. Non-limiting examples of dielectric materials to form the ILD layer 730 may include silicon oxide, silicon nitride, hydrogenated silicon carbon oxide, silicon based low-k dielectrics, flowable oxides, porous dielectrics, or organic dielectrics including porous organic dielectrics. After deposition of the ILD layer 730, a CMP process is conducted on the semiconductor structure 100 to expose a top surface of the dummy gate 130, as depicted in the figure.
Referring now to
The dummy gate 130 and the (SiGe) sacrificial nanosheets 110, 114 can be removed by known etching processes including, for example, RIE or chemical oxide removal (COR). In a gate-last fabrication process, the removed dummy gate 130 is thereafter replaced with a metal gate (not shown) as known in the art. It should be noted that the dummy gate 130 and sacrificial nanosheets 110, 114 are removed selectively to the first inner spacer 320 remaining on the second side of the semiconductor structure 100.
Referring now to
After removing the remaining portions of the first inner spacer 320 (
Referring now to
According to an embodiment,
The inner nitride layer 1010 can be conformally formed within second recess 910 (
The doped transition metal layer 1020 is formed on the inner nitride layer 1010. In some embodiments, the doped transition metal layer 1020 is deposited using ALD. In some embodiments, the doped transition metal layer 1020 includes an aluminum doped transition metal carbide. In some embodiments, the doped transition metal layer 1020 is formed using a hybrid ALD/CVD process having alternating pulses of a transition metal containing precursor and an aluminum carbide containing precursor. In this embodiment, the doped transition metal layer 1020 is made of, for example, aluminum doped titanium carbide (TiAlC). A thickness of the doped transition metal layer 1020 can vary between approximately 3 nm to approximately 5 nm.
As known by those skilled in the art, the presence of aluminum (Al) containing metals can lower the device threshold voltage. By forming a T-shaped second inner spacer 640, the inner nitride layer 1010 is pinched-off on the source side of the semiconductor structure 100 thereby preventing the deposition of Al-containing metals from the doped transition metal layer 1020. Accordingly, the inner spacer-gate metal stack configuration shown in
The outer nitride layer 1030 can be conformally formed on the doped transition metal layer 1020 in a similar manner as the inner nitride layer 1010. In some embodiments, the outer nitride layer 1030 is conformally deposited using ALD. In some embodiments, the outer nitride layer 1030 includes titanium nitride (TiN). A thickness of the outer nitride layer 1030 can vary between approximately 1 nm to approximately 2 nm.
In some embodiments, a gate dielectric (not shown) is formed between channel nanosheets 120 and the inner nitride layer 1010. The gate dielectric can be made of, for example, silicon oxide, silicon nitride, silicon oxynitride, boron nitride, high-k materials, or any combination of these materials. Examples of high-k materials include but are not limited to metal oxides such as hafnium oxide, hafnium silicon oxide, hafnium silicon oxynitride, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, zirconium silicon oxynitride, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate. The high-k materials can further include dopants such as lanthanum and aluminum. In some embodiments, the gate dielectric can have a thickness varying from approximately 1 nm to approximately 3 nm.
According to another embodiment,
In embodiments in which the semiconductor structure 100 is a PFET device, no aluminum (Al) containing metals are included in the gate metal stack. Thus, in these embodiments, the asymmetric threshold voltage profile is caused by the difference in effective metal thickness between the source side and the drain side of the semiconductor structure 100. Stated differently, an effective thickness of the (metal) nitride layer 1012 on the source side of the semiconductor structure 100 is less than an effective thickness of the nitride layer 1012 on the drain side of the semiconductor structure 100. This reduction in effective metal thickness on the source side of the semiconductor structure 100 is caused by the protruding region 612 of the second inner spacer 640.
Accordingly, a lateral asymmetric channel (LAC) structure with asymmetric work function metal distribution and higher threshold voltage on the (first) source side can be achieved in embodiments in which the semiconductor structure 100 is a PFET device. Similarly, to the NFET device described in
With continued reference to
Finally, the proposed embodiments allow the formation of a semiconductor structure with an asymmetric threshold voltage profile between source and drain regions that may improve device performance and enable further scaling of nanosheet technology.
The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.
Number | Date | Country | |
---|---|---|---|
Parent | 17188426 | Mar 2021 | US |
Child | 18337074 | US |